ÚVOD | Novinky | 8 Bitů | Příslušenství | Drobnosti | TTL | Kontakt


SAPI-1 | ONDRA | PMI-80 | PMD-85 | klony PMD-85 | klony SM50/40 | PETR | PLAN-80A | IQ151 | TNS | FK-1 | HVĚZDA


ZPS | Technické prostředky | Programové vybavení | Dokumentace


8 bity / SAPI-1 / Technické prostředky / AND-1Z, AND-1Z/89




AND-1Z


AND-1Z/89

Deska je popsána ve více zdrojích. V AR/B 85/6 (Amatérské Rádio řada B (modré – pro konstruktéry), ročník 1985 číslo 6) je podrobnější popis funkce a oživení.

Deska AND-1Z vznikla jako náhrada za AND-1 / AND-1A která již přestala vyhovovat zejména pro CP/M, nemožností použití malé abecedy či diakritiky. Desky AND-1Z a AND-1 / AND-1A již nejsou záměnné.

Snahou bylo vytvořit displej podobný či shodný s již existujícími displeji TRS, VG, TNS. Displej má rozlišení 64 znaků na řádek a 20 řádků. Velikost rastru znaku byla zvetšena na 6x12 bodů, což umožňuje zobrazení znaků i s diakritickými znaménky. Jako generátor znaků je použita paměť EPROM, pokud se místo EPROM použila deska DSE-1 (Deska Simulátoru/programátoru Eprom) bylo možno měnit znakový generátor dle potřeby. Deska umožňuje zobrazit všech 256 znaků. Dále byla upravena řídící logika tak aby nedocházelo k blikání displeje při zápisu či čtení. Došlo ke ztrátě funkce podtrženi (kurzoru) blikání a dvojité šířky znaku.

Na desce AND-1Z byly problémy s výběrem pamětí 2114, tak v roce 1989 došlo k úpravě DPS a paměti 2114 byly nahrazeny jednou 6116, kterou již nebylo nutno vybírat. Deska dostala označení AND-1Z/89 a je plně záměnná s AND-1Z.



OCR návodu AND-1Z

TESLA
ELTOS
STÁTNÍ
PODNIK
DIZ

SAPI-1


APLIKAČNÍ PŘÍRUČKA











AND-1Z







Obsah:

  1. Úvod
  2. Technické parametry
  3. Instalace
  4. Popis funkce
  5. Programování
  6. Testování
  7. Údržba
  8. Všeobecné údaje
  9. Obsah pamětí PROM
  10. Zapojení konektoru X1
  11. Zapojení konektoru X2
  12. Výpis generátoru znaků EPROM - pozice D11
  13. Grafické znázornění znaků u AND-1Z
  14. Zapojení propojek na desce AND-1Z
  15. Rozpiska součástek
  16. Rozložení součástek
  17. Schéma desky AND-1Z (příloha)


  1. Úvod
  2. Deska AND-1Z je deska alfanumerického a semigrafického displeje pro mikropočítačový systém SAPI-1.Pracuje s rastrem zobrazeni 6 X 12 bodů, 64 písmen na řádce a 16 až 20 řádků. Pracuje s českou abecedou podle ČSN 36 9103 "8bitové kódy", tabulka KOI-8čs2. Generátor znaků je uložen v pamětech EPROM. Paměť VIDEORAM je 2Kb. Adresace desky po 1 Kbyte v rozsahu 64Kbyte. Zobrazeni na TV přijímači nebo na zobrazovací jednotce AZJ 462, připadne obojí zobrazení najednou.



  3. Technické parametry
  4. Napájení desky:+5V +-5%
    odběr proudu (s osazenou EPROM):   +5V max. 1,2A
     
    Rozměr desky:140 x 150 x 15 mm
    hmotnost:180 g
     
    Kapacita paměti ROM obrazu:4 Kbyte (typ 2732 nebo 2 x 2716)
    adresa paměti obrazu:F800H až FFFFH
    zobrazovaná matice znaků:6 x 12 bodů


    Výstupy AND-1Z:


    Zátěže všech výstupů:     v úrovních TTL
    Všechny ostatní logické vstupy a výstupy v úrovních TTL výkonově a časově přizpůsobené sběrnici SAPI-1.


    Perioda řádkové synchronizace64 uS
    perioda snímkové synchronizace20,5 mS
    nastavení horizontální synchronizace:ano
    nastavení vertikální synchronizace:ano
    sirka horizont.synch.impulsů:4 uS
    způsob adresace na ARB-1:MR, MW
    možnost mapování adresného prostoru:   ano
    počáteční adresa RAM displeje:po 1Kb v rozsahu 64 Kbyte




  5. Instalace
  6. Desku vyjmeme z obalu a překontrolujeme, zda nedošlo k poškození desky při přepravě. Dále zkontrolujeme kontakty konektoru FRB, zda nedošlo k mechanickému poškozeni.

    Překontrolujeme zapojení propojek na desce, případně předěláme propojky podle potřeby. Význam a zapojeni propojek je uveden v příloze.

    Překontrolujeme, zda deska nezpůsobí překročeni max. odběru napájecích zdrojů nebo zda nebudou překročeny povolené zátěže sběrnice desky.



  7. Popi s funkce
  8. Deska alfanumerického displeje AND-1 má pouze 40 znaků na řádek s rastrem 5 X 7 bodů. Pro mnoho programů pracujících pod operačním systémem CP/M je to málo. 80 znaků na řádek zase nezpracuje TV přijímač pro příliš velký kmitočet videosignálu a tak je tedy 64 znaků na řádek kompromisním řešením.

    Deska AND-1Z umožňuje práci s úplnou českou abecedou s diakritickými znaménky. Základem je rastr 6 X 12 bodů pro zobrazení malých i velkých písmen a slovenské abecedy. Bodový rastr 6 X 12 bodů používají počítače TRS, VG A TNS. Zvolením tohoto rastru se dosáhlo kompatibility s těmito počítači i v semigrafice.Generátor znaků obsahuje 64 znaků pro semigrafiku. Rastr 6 X 12 je rozdělen na 6 poliček 3 X 3 body, kódování je uvedeno v kapitole programování. Navíc je v generátoru část znaků převzatých z TELEVIDEO (USA). Tyto znaky umožňují rámovat texty, protože jsou symetrické vzhledem k osám rastru.

    U displeje AND-1Z bylo pro odstraněni blikáni zvoleno řešeni vyhrazením pevného času pro čteni z paměti do zobrazovacích obvodů a pevného času pro čteni a zápis ze strany počítače. Ctění a zápis je synchronizován s vlastním kmitočtem displeje. Proto také jsou paměti RAM MHB2114 vybírány. Deska AND-1Z je navržena tak,že na výstupním konektoru se chová stejně jako deska AND-1, kabely není nutno předělávat, dokonce je možno použit najednou TV přijímač i zobrazovací jednotku AZJ 462.

    Schéma desky AND-1Z lze logicky rozdělit na dvě části. V první části jsou obvody kolem sběrnice a paměti displeje, do druhé části lze zařadit generátor časové základny pro horizontální a vertikální adresaci paměti a synchronizaci se zobrazovací jednotkou.

    Dekodér adresy je tvořen paměti PROM (D1), podle obsahu PROM lze umístit displej do kteréhokoliv "KILA" paměti v rozsahu 64Kbyte. Touto úpravou je zajištěna kompatibilita adresy zejména na adrese 3C00H jako u systémů TRS, VG A TNS. Pak je možné omezit displej na 16 řádků textu (64znaků/ř x 16 řádků = 1024 znaků) a vznikne tak plně kompatibilní displej. Pro tento případ je ještě nutné vyměnit paměť EPROM , která tvoři generátor znaků. Právě proto,aby mohl displej začínat i na adrese, která má bit A10 = "1", bylo nutné překódovat paměti PROM (D1) i tento bit a pak ho teprve vést do vstupu multiplexeru adresy (D35/6). Výstupním signálem z dekodéru adresy je /SEL.Je-li tento signál v "L", je na adresové části sběrnice adresa displeje. Invertovaný signál pak povoluje průchod signálu STSTB ze sběrnice a nastaví se na "H" klopný obvod WAIT (D21/9). Tim "SPADNE" RDY na sběrnici a procesor by zařadil čekací cykl TW. Problém je v tom, že u procesoru 8080A se po horních bitech adresy přenáší i adresa periferie a bude-li adresa displeje F800H, pak by při instrukci OUT 0F8H procesor zařadil čekací cykl. Proto je klopný obvod WAIT nulován signálem /IOR, /IOW (C14). Není to úplné řešeni, protože při /IOR již procesor čekací cykl zařadí, ale alespoň je jen jeden.Zařazeni čekacího cyklu při /MR A /MW je naopak nutnou podmínkou synchronizace displeje a procesoru.

    Signál /SEL povolí průchod signálu /MR A /MW (D6).Tyto dva požadavky na přistup do paměti displeje se sečtou a vznikne tak signál RQ (D4/6). Pak začne pracovat synchronizační logika, tvořená klopnými obvody D12/9 a /5.V čase, který je dán náběhem signálu QA, začíná čas vyhrazený pro přistup počítače do paměti. Je-li požadavek RQ = "1", nastaví se klopný obvod D12/9 na "L" a povolí se jak zápisy (D14/4, D6/1), tak i vstup adresy ze sběrnice do desky (D2S až D27/1). Po skončení vyhrazeného času v okamžiku náběhu QA se nastaví na "L" klopný obvod D12/5 a první klopný obvod se vynuluje. Nastavením D12/5 na "L" se zakáže požadavek RQ do té doby, než skončí předcházející a současně se nuluje klopný obvod WAIT - počítač přestane vkládat čekací cykly TW.

    Rozděleni času na části pro displej a procesor zajišťuje Johansonův čítač, tvořený posuvným registrem D13. Čítač děli šesti signál o kmitočtu 10 MHz, takže na nakresleni jednoho znaku je čas 600 nS. Z toho 300 nS při QA = "H" je pro počítač a 300 nS při QA = "L" pro displej. Z časového diagramu je vidět,že se před koncem času pro počítač uvolní data z počítače signálem WDE, který otevře třístavové oddělovače D8 a D10.Na konci času pro počítač se data přečtená z videoram vyvzorkují do registru D7 a D9. Na konci času pro displej se data čtená z videoram vyvzorkují do registru D2 a D3.

    Johnsonův čítač (D13) zajišťuje také děleni základního kmitočtu zobrazovacích bodá DOT CK (D29/12) šesti (6 bodů na znak) a tím vyrábí znakový kmitočet, označený /HSCK ("horizontální hodiny"), který inkrementuje horizontální čítač časové základny. Tento čítač adresuje ve videoram 64 znaků na jedné řádce. Jednotlivé adresy H1 až H32 procházejí, přes multiplexery na adresové vstupy paměti MHB 2114.

    Vzhledem k velké rychlosti zobrazování znaků je do řetězce: VIDEORAM - GENERÁTOR ZNAKŮ - POSUVNÝ REGISTR VIDEA zařazen vyrovnávací registr D2, D3 a tím také přibyly obvody na desce RAM-1Z. Proto je časová základna horizontálního a vertikálního rozkladu řešena pomocí paměti PROM, které dekódují nejen potřebné synchronizační impulsy, ale i nulovací impulsy pro zkráceni cyklu binárních čitačů na potřebnou délku (signály RCH A RCV).Výstup paměti PROM je vzorkován do registru D22.

    Paměť PROM (D24) dekóduje horizontální synchronizační impulsy. V paměti D24 je na adrese 107 naprogramována jednička na výstupu Y2 a ta způsobí vynulování čítačů D31 a D32 (0,6 uS X 107 = 64,2 uS).

    Z výstupu D22/7 je odvozen impuls o délce 0,6 uS,který inkrementuje vertikální čítač D28. Ve skutečnosti se dekrementuje reversibilní čítač, protože obvod 74LS193 je zapojen jak čítač dolů (DOWN),aby bylo možno jeho cykl zkrátit na 12 spojením /BOROW A /LOAD.Díky tomu pak musí být generátor znaků programován pozpátku, protože linky L1 až L8 čítají v pořadí 11,10,9,8 až 0. Do čítače D28 se naplňuje číslo 12 (A,B = 0 a C,D = "1"), avšak hned po naplněni se změní na 11. Další dva čítače vertikální části časové základny pak čítají nahoru (UP) a generuji adresy V1 až V16 pro adresaci jednotlivých řádků,kterých je na TV přijímači celkem 20. Zobrazeno je tedy 12 x 20 neboli 240 TV řádků z celkového počtu 312 řádků. Obsah čítače je dekódován paměti PROM D35.Na adrese 312 této paměti je naprogramována jednička na výstupu Y2 a ta způsobí vynulování čítačů D33 a D34 a tím zkráceni jejich cyklu.

    Výstupy na TV přijímač a zobrazovací jednotku AZJ 462 jsou stejné jako u AND-1.

    Deska AND-1Z má svou vlastní videoram, tvořenou čtyřmi obvody MHB2114. Tyto paměti musí mít dobu přístupu od změny adresy max. 250 nS. Je možné je vybrat z našich pamětí. Z výstupu videoram (D15 až D18) se data vzorkují do registrů D2 a D3. Za tímto registrem je zařazena paměť 2732, která pracuje jako generátor znaků.Místo jedné paměti 2732 je možno použít dvě MHB 2716, u nichž se musí vzájemně spájet všechny vývody stejného čísla. Jen špička 20 horní paměti se odehne a připojí se na výstup invertoru D29/6, který je zde pro tyto účely připraven. Dá-1i se do připravené díry u objímky dutinka z konektoru,pak je možno vyměnit paměti EPROM bez pájení.Pro omezený počet znaků je také možno použít pouze jednu paměť MHB 2716.Zapojeni propojek u generátoru znaků pro různé typy pamětí je uveden v kapitole programování.

    Za pamětí EPROM je zařazen posuvný registr D19, D20, který převede paralelní informaci z generátoru znaků na sériovou (video). Videosignál je potom vyhradlován se zatmívacími impulsy /HB a /VB a zasynchronizován v klopném obvodu D21/5.

    Deska AND-1Z používá ve velké míře obvody ze SSSR, Je to proto, ze obvody řady K555(74LSxx) mají malou spotřebu, a to při rychlosti odpovídající řadě MH74.



  9. Programování
  10. Paměť RAM na desce AND-1Z představuje pro mikropočítač normální paměť RAM. Lze tedy do této paměti kdykoliv zapisovat nebo z ní číst.

    K programování spolupráce DISPLEJ - MIKROPOČÍTAČ je třeba znát tyto údaje:



  11. Testování
  12. Deska je ve výrobním závodě testována pomocí speciál nich testů. Sestava ZPS-4 jako celek je ve výrobním závodě vyzkoušena pomocí funkčního testu.



  13. Údržba
  14. Údržba desky spočívá v udržování kontaktů FRB konektoru. Tyto kontakty je nutno chránit před znečištěním a mechanickým poškozením, aby byla zajištěna spolehlivá funkce systému. Před každým nasunutím desky do sběrnice je nutno zkontrolovat zda nejsou špičky konektoru ohnuty, aby nedošlo k jejich ulomení. Servis desky zajišťuje dodavatel systému TESLA DIZ prostřednictvím servisních středisek. V případě odeslání desky do opravy je nutno ji zabalit do původního přepravního obalu.



  15. Všeobecné údaje
  16. Pracovní podmínky:teplota okolí...+5 stC až +40 stC
    relat. vlhkost...40 – 80%. při 30 stC
    prostředí...neklimatizované,
    bez agresivních plynů a par
    atmosfér.tlak...84 - 107 kPa
    prašnost...max. 1mg/m3
    částice max. 10 um
    vibrace-odolnost0.1 mm při 25 Hz

    Krytí dle ČSN 33 0330 je IP 00.
    Deska je napájena ze zdroje, který odpovídá ČSN 36 9060.

    Kvalifikace obsluhy a údržby - pracovník poučený dle par.4 vyhlášky 50/78Sb.

    Skladování: skladovací prostor musí být suchý, dobře větraný bez mechanických otřesů a chemických vlivů, skladovací teplota musí být v rozmez i -5 až +35 stC a relativní vlhkost max. 75%, výrobky musí být skladovány v neporušeném obalu. Při vybal ování systému (zvláště v zimním období) je nutné ponechat výrobek v přepravní m obalu 4-5 hodin v pracovních podmínkách, aby nedošlo k orosení desek.

    Záruka: dodavatel ručí za jakost výrobku po dobu 6 měsíců ode dne splnění dodávky za předpokladu, že deska nebyla mechanicky poškozena hrubým nebo neodborným zásahem.



  17. Obsah pamětí PROM
  18. Obsah paměti MH 74S287 - pozice D1 pro desku AND-1Z

    000  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
    010  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
    020  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
    030  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
    040  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
    050  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
    060  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
    070  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0
    080  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
    090  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
    0A0  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
    0B0  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
    0C0  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
    0D0  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
    0E0  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
    0F0  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 8
    

    Obsah paměti MH 74S287 - pozice D1 pro desku AND-1Z, formát INTELHEX

    :20000000F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1C0
    :20002000F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1A0
    :20004000F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F180
    :20006000F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F061
    :20008000F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F140
    :2000A000F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F120
    :2000C000F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F100
    :2000E000F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F1F8D9
    :00000001FF
    


    Obsah paměti MH 74S287 - pozice D24 pro desku AND-1Z

    000  8 8 8 8 0 0 0 0 0 0 0 0 0 0 0 0
    010  8 0 8 0 0 0 0 0 0 0 0 0 0 0 0 0
    020  8 8 8 8 0 0 0 0 0 0 0 0 0 0 0 0
    030  8 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
    040  0 0 0 0 8 8 8 8 8 C C C 0 0 0 0
    050  0 0 0 8 8 0 8 0 C 8 8 8 0 0 0 0
    060  0 0 0 0 8 8 8 8 C C C C 0 0 0 0
    070  0 0 0 8 8 0 A 0 8 8 8 8 0 0 0 0
    080  C D D D 5 5 5 5 5 5 5 5 5 5 5 5
    090  D 5 D 5 5 5 5 5 5 5 5 5 5 5 5 5
    0A0  C D D D 5 5 5 5 5 5 5 5 5 5 5 5
    0B0  D 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5
    0C0  5 4 4 4 C C C C C 8 8 8 0 0 0 0
    0D0  4 4 4 C C 0 C 0 8 C C C 0 0 0 0
    0E0  5 4 4 4 C C C C 8 8 8 8 0 0 0 0
    0F0  4 4 4 C C 0 E 0 C C C C 0 0 0 0
    

    Obsah paměti MH 74S287 - pozice D24 pro desku AND-1Z, formát INTELHEX

    :20000000F8F8F8F8F0F0F0F0F0F0F0F0F0F0F0F0F8F0F8F0F0F0F0F0F0F0F0F0F0F0F0F0B0
    :20002000F8F8F8F8F0F0F0F0F0F0F0F0F0F0F0F0F8F0F0F0F0F0F0F0F0F0F0F0F0F0F0F098
    :20004000F0F0F0F0F8F8F8F8F8FCFCFCF0F0F0F0F0F0F0F8F8F0F8F0FCF8F8F8F0F0F0F018
    :20006000F0F0F0F0F8F8F8F8FCFCFCFCF0F0F0F0F0F0F0F8F8F0FAF0F8F8F8F8F0F0F0F0F6
    :20008000FCFDFDFDF5F5F5F5F5F5F5F5F5F5F5F5FDF5FDF5F5F5F5F5F5F5F5F5F5F5F5F591
    :2000A000FCFDFDFDF5F5F5F5F5F5F5F5F5F5F5F5FDF5F5F5F5F5F5F5F5F5F5F5F5F5F5F579
    :2000C000F5F4F4F4FCFCFCFCFCF8F8F8F0F0F0F0F4F4F4FCFCF0FCF0F8FCFCFCF0F0F0F05F
    :2000E000F5F4F4F4FCFCFCFCF8F8F8F8F0F0F0F0F4F4F4FCFCF0FEF0FCFCFCFCF0F0F0F03D
    :00000001FF
    


    Obsah paměti MH 74S571 - pozice D35 pro desku AND-1Z

    000  D D D D D D D 0 D D D D D D D D
    010  D D D D D D D D D D D D D D D D
    020  D D 9 9 9 9 D D D D 9 9 9 9 D D
    030  D D 8 1 D D D D D D 9 8 D D D D
    040  D D D D D D D D D D D D D D D D
    050  D D D D D D D D D D D D D D D D
    060  D D 9 9 9 9 D D D D 9 9 9 9 D D
    070  D D 8 9 D B D D D D 9 8 D D D D
    080  D D D D D D D D D D D D D D D D
    090  D D D D D D D D D D D D D D D D
    0A0  D D 9 9 9 9 D D D D 9 9 9 9 D D
    0B0  D D 8 9 D D D D D D 9 8 D D D D
    0C0  D D D D D D D D D D D D D D D D
    0D0  D D D D D D D D D D D D D D D D
    0E0  D D 9 D 9 D D D D D 9 D 9 D D D
    0F0  D D 8 D D D D D D D 8 D D D D D
    
    
    100  D D D D D D D D D D D D D D D D
    110  D D D D D D D D D D D D D D D D
    120  D D 9 9 9 9 D D D D 9 9 9 9 D D
    130  D D 8 1 D D D D D D 9 8 D D D D
    140  D D D D D D D D D D D D D D D D
    150  D D D D D D D D D D D D D D D D
    160  D D 9 9 9 9 D D D D 9 9 9 9 D D
    170  D D 8 9 D B D D D D 9 8 D D D D
    180  D D D D D D D D D D D D D D D D
    190  D D D D D D D D 0 D D D D D D D
    1A0  D D 9 9 9 9 D D D D 9 9 9 9 D D
    1B0  D D 8 9 D D D D D D 9 8 D D D D
    1C0  D D D D D D D D D D D D D D D D
    1D0  D D D D D D D D D D D D D D D D
    1E0  D D 9 D 9 D D D D D 9 D 9 D D D
    1F0  D D 8 D D D D D D D 8 D D D D D
    

    Obsah paměti MH 74S571 - pozice D35 pro desku AND-1Z, formát INTELHEX

    :20000000FDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFD40
    :20002000FDFDF9F9F9F9FDFDFDFDF9F9F9F9FDFDFDFDF8F1FDFDFDFDFDFDF9F8FDFDFDFD5A
    :20004000FDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFD00
    :20006000FDFDF9F9F9F9FDFDFDFDF9F9F9F9FDFDFDFDF8F9FDFBFDFDFDFDF9F8FDFDFDFD14
    :20008000FDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDC0
    :2000A000FDFDF9F9F9F9FDFDFDFDF9F9F9F9FDFDFDFDF8F9FDFDFDFDFDFDF9F8FDFDFDFDD2
    :2000C000FDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFD80
    :2000E000FDFDF9FDF9FDFDFDFDFDF9FDF9FDFDFDFDFDF8FDFDFDFDFDFDFDF8FDFDFDFDFD7A
    :20010000FDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFD3F
    :20012000FDFDF9F9F9F9FDFDFDFDF9F9F9F9FDFDFDFDF8F1FDFDFDFDFDFDF9F8FDFDFDFD59
    :20014000FDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFF
    :20016000FDFDF9F9F9F9FDFDFDFDF9F9F9F9FDFDFDFDF8F9FDFBFDFDFDFDF9F8FDFDFDFD13
    :20018000FDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDBF
    :2001A000FDFDF9F9F9F9FDFDFDFDF9F9F9F9FDFDFDFDF8F9FDFDFDFDFDFDF9F8FDFDFDFDD1
    :2001C000FDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFD7F
    :2001E000FDFDF9FDF9FDFDFDFDFDF9FDF9FDFDFDFDFDF8FDFDFDFDFDFDFDF8FDFDFDFDFD79
    :00000001FF
    


  19. Zapojení konektoru X1
  20. ---------------------------------------------------------
    číslo konektoru: X1                 konektor: TY 517 6211
    deska: AND-1Z                       klíčování: C6
    ---------------------------------------------------------
    číslo   signál  název                           typ
    (PIN)
    ---------------------------------------------------------
    1
    2
    3       RDY     READY                           OUT
    4       STSTB   vzorek statuta                  INP
    5
    6
    7
    8       /RES   nulováni systému                 INP
    9       /MR    čtení z paměti                   INP
    10      /IOR   čtení z portu                    INP
    11      /MW    zápis do paměti                  INP
    12      /IOW   zápis do portu                   INP
    13
    14
    15      +5V    napájení                         NAP
    16      +5V    napájení                         NAP
    17      +5V    napájení                         NAP
    18      +5V    napájení                         NAP
    19      0V     napájení                         NAP
    20      0V     napájení                         NAP
    21      MAP    mapování paměti                  INP
    22
    23      A9     adresa                           INP
    24      A15    adresa                           INP
    25      A11    adresa                           INP
    26      A14    adresa                           INP
    27      A13    adresa                           INP
    28      A12    adresa                           INP
    29      A10    adresa                           INP
    30      A8     adresa                           INP
    31      D4     data                             BD
    32      D3     data                             BD
    33      D6     data                             BD
    34      D5     data                             BD
    35      D2     data                             BD
    36      D7     data                             BD
    37      D0     data                             BD
    38      D1     data                             BD
    39      A1     adresa                           INP
    40      A0     adresa                           INP
    41      A3     adresa                           INP
    42      A2     adresa                           INP
    43      A5     adresa                           INP
    44      A4     adresa                           INP
    45      A7     adresa                           INP
    46      A6     adresa                           INP
    47
    48
    49
    50
    51
    52
    53      0V     napájení                         NAP
    54      0V     napájení                         NAP
    55
    56
    57
    58
    59
    60
    61
    62
    ---------------------------------------------------------
    
    
                           INP     vstupní
                           BD      obousměrný
                           OUT     výstupní
                           NAP     napájení
    


  21. Zapojení konektoru X2
  22. ---------------------------------------------------------
    číslo konektoru: X2                 konektor: TY 513 3011
    deska: AND-1Z                       klíčování: A4
    ---------------------------------------------------------
    číslo   signál  název                           typ
    (PIN)
    ---------------------------------------------------------
    1       0V      GND
    2       /HS     horizontální synchronizace AZJ  OKB
    3
    4
    5       0V      GND
    6       VS      vertikální synchronizace AZJ    OKB
    7
    8
    9
    10
    11
    12
    13
    14
    15
    16
    17      0V      GND
    18      /VID    video AZJ                       OKB
    19
    20
    21
    22
    23
    24
    25
    26
    27
    28
    29      0V      GND
    30      CV      video                           TV
    ---------------------------------------------------------
    
    
                          OKB     otevřený kolektor výkonový
                          TV      televizní signál
    


  23. Výpis generátoru znaků
  24. Výpis generátoru znaků standardní, formát INTELHEX

    :2000000000000000000C1C38303030300000000030303030381C0C000000000000000000A0
    :200020003030303070E0C00000000000000000000000000000C0E070303030300000000020
    :2000400000000000003C3C30303030300000000030303030303C3C000000000000000000D0
    :200060003030303030F0F00000000000000000000000000000F0F0303030303000000000E0
    :200080000000000000FCFC30303030300000000030303030303C3C30303030300000000020
    :2000A0003030303030FCFC0000000000000000003030303030F0F030303030300000000098
    :2000C000303030303030303030303030000000000000000000FCFC000000000000000000E8
    :2000E0003030303030FCFC303030303000000000FCFCFCFCFCFCFCFCFCFCFCFC0000000058
    :20010000FCFCFCFCFCFCFCFCFCFCFCFC00000000FCFCFCFCFCFCFCFCFCFCFCFC000000003F
    :20012000FCFCFCFCFCFCFCFCFCFCFCFC00000000FCFCFCFCFCFCFCFCFCFCFCFC000000001F
    :20014000FCFCFCFCFCFCFCFCFCFCFCFC00000000FCFCFCFCFCFCFCFCFCFCFCFC00000000FF
    :20016000FCFCFCFCFCFCFCFCFCFCFCFC00000000FCFCFCFCFCFCFCFCFCFCFCFC00000000DF
    :20018000FCFCFCFCFCFCFCFCFCFCFCFC00000000FCFCFCFCFCFCFCFCFCFCFCFC00000000BF
    :2001A000FCFCFCFCFCFCFCFCFCFCFCFC00000000FCFCFCFCFCFCFCFCFCFCFCFC000000009F
    :2001C000FCFCFCFCFCFCFCFCFCFCFCFC00000000FCFCFCFCFCFCFCFCFCFCFCFC000000007F
    :2001E000FCFCFCFCFCFCFCFCFCFCFCFC00000000FCFCFCFCFCFCFCFCFCFCFCFC000000005F
    :2002000000000000000000000000000000000000000000200020202020200000000000001E
    :2002200000000000000000A050505000000000000000005050F850F85050000000000000AE
    :2002400000000020F02870A078200000000000000000001898402010C8C000000000000016
    :200260000000006890A840A0A040000000000000000000000000004020202000000000007E
    :200280000000002040808080402000000000000000000020100808081020000000000000A6
    :2002A0000000000020A870A82000000000000000000000002020F8202000000000000000C6
    :2002C00000402020000000000000000000000000000000000000F8000000000000000000A6
    :2002E0000000002000000000000000000000000000000000804020100800000000000000E6
    :200300000000007088C8A89888700000000000000000007020202020602000000000000075
    :20032000000000F8808070088870000000000000000000708808301008F800000000000015
    :20034000000000101010F850301000000000000000000070880808F080F800000000000075
    :20036000000000708888F0804038000000000000000000804020100808F80000000000001D
    :2003800000000070888870888870000000000000000000E0100878888870000000000000FD
    :2003A00000000000002000200000000000000000004020200020000000000000000000005D
    :2003C000000000102040804020100000000000000000000000F800F80000000000000000CD
    :2003E0000000004020100810204000000000000000000020002010088870000000000000C5
    :200400000000007880B0B8A88870000000000000000000888888F888502000000000000054
    :20042000000000F08888F08888F0000000000000000000708880808088700000000000005C
    :20044000000000F08888888888F0000000000000000000F88080F08080F800000000000034
    :20046000000000808080F08080F8000000000000000000788898808088780000000000007C
    :20048000000000888888F888888800000000000000000070202020202070000000000000B4
    :2004A000000000708808080808080000000000000000008890A0C0A09088000000000000EC
    :2004C000000000F88080808080800000000000000000008888A8A8A8D888000000000000BC
    :2004E000000000888898A8C88888000000000000000000708888888888700000000000004C
    :20050000000000808080F08888F00000000000000000006890A888888870000000000000C3
    :200520000000008890A0F08888F0000000000000000000708808708088700000000000002B
    :200540000000002020202020A8F800000000000000000070888888888888000000000000BB
    :200560000000002020505088888800000000000000000050A8A8A888888800000000000023
    :2005800000000088885020508888000000000000000000202020205088880000000000009B
    :2005A000000000F88040201008F8000000000000000000F8C0C0C0C0C0F8000000000000A3
    :2005C00000000000081020408000000000000000000000F81818181818F8000000000000BB
    :2005E000000000202020A8702000000000000000000000F80000000000000000000000006B
    :2006000000000000000000102040000000000000000000788878087000000000000000007A
    :20062000000000F0888888F0808000000000000000000070808080700000000000000000E2
    :20064000000000788888887808080000000000000000007080F88870000000000000000022
    :2006600000000020202070202010000000000000003008788888887800000000000000009A
    :2006800000000088888888F0808000000000000000000070202020600020000000000000FA
    :2006A00000601010101010300010000000000000000000485060504840400000000000003A
    :2006C00000000070202020202060000000000000000000A8A8A8A8D000000000000000003A
    :2006E000000000888888C8B000000000000000000000007088888870000000000000000072
    :20070000008080F0888888F0000000000000000000080878888888780000000000000000C9
    :2007200000000040404060580000000000000000000000F0087080780000000000000000E1
    :20074000000000102020207020000000000000000000007888888888000000000000000001
    :200760000000002050888888000000000000000000000050A8A888880000000000000000C1
    :200780000000008850205088000000000000000000300878888888880000000000000000B9
    :2007A000000000F8402010F8000000000000000000000010202040202010000000000000F9
    :2007C000000000202020202020200000000000000000004020201020204000000000000029
    :2007E0000000000000000010A840000000000000A854A854A854A854A854A8540000000019
    :20080000000000000000000000000000000000000000000000000000E0E0E0E00000000058
    :2008200000000000000000001C1C1C1C000000000000000000000000FCFCFCFC0000000058
    :2008400000000000E0E0E0E0000000000000000000000000E0E0E0E0E0E0E0E00000000018
    :2008600000000000E0E0E0E01C1C1C1C0000000000000000E0E0E0E0FCFCFCFC0000000018
    :20088000000000001C1C1C1C0000000000000000000000001C1C1C1CE0E0E0E000000000F8
    :2008A000000000001C1C1C1C1C1C1C1C00000000000000001C1C1C1CFCFCFCFC00000000F8
    :2008C00000000000FCFCFCFC000000000000000000000000FCFCFCFCE0E0E0E000000000B8
    :2008E00000000000FCFCFCFC1C1C1C1C0000000000000000FCFCFCFCFCFCFCFC00000000B8
    :20090000E0E0E0E0000000000000000000000000E0E0E0E000000000E0E0E0E00000000057
    :20092000E0E0E0E0000000001C1C1C1C00000000E0E0E0E000000000FCFCFCFC0000000057
    :20094000E0E0E0E0E0E0E0E00000000000000000E0E0E0E0E0E0E0E0E0E0E0E00000000017
    :20096000E0E0E0E0E0E0E0E01C1C1C1C00000000E0E0E0E0E0E0E0E0FCFCFCFC0000000017
    :20098000E0E0E0E01C1C1C1C0000000000000000E0E0E0E01C1C1C1CE0E0E0E000000000F7
    :2009A000E0E0E0E01C1C1C1C1C1C1C1C00000000E0E0E0E01C1C1C1CFCFCFCFC00000000F7
    :2009C000E0E0E0E0FCFCFCFC0000000000000000E0E0E0E0FCFCFCFCE0E0E0E000000000B7
    :2009E000E0E0E0E0FCFCFCFC1C1C1C1C00000000E0E0E0E0FCFCFCFCFCFCFCFC00000000B7
    :200A00001C1C1C1C0000000000000000000000001C1C1C1C00000000E0E0E0E00000000076
    :200A20001C1C1C1C000000001C1C1C1C000000001C1C1C1C00000000FCFCFCFC0000000076
    :200A40001C1C1C1CE0E0E0E000000000000000001C1C1C1CE0E0E0E0E0E0E0E00000000036
    :200A60001C1C1C1CE0E0E0E01C1C1C1C000000001C1C1C1CE0E0E0E0FCFCFCFC0000000036
    :200A80001C1C1C1C1C1C1C1C00000000000000001C1C1C1C1C1C1C1CE0E0E0E00000000016
    :200AA0001C1C1C1C1C1C1C1C1C1C1C1C000000001C1C1C1C1C1C1C1CFCFCFCFC0000000016
    :200AC0001C1C1C1CFCFCFCFC00000000000000001C1C1C1CFCFCFCFCE0E0E0E000000000D6
    :200AE0001C1C1C1CFCFCFCFC1C1C1C1C000000001C1C1C1CFCFCFCFCFCFCFCFC00000000D6
    :200B0000FCFCFCFC000000000000000000000000FCFCFCFC00000000E0E0E0E00000000075
    :200B2000FCFCFCFC000000001C1C1C1C00000000FCFCFCFC00000000FCFCFCFC0000000075
    :200B4000FCFCFCFCE0E0E0E00000000000000000FCFCFCFCE0E0E0E0E0E0E0E00000000035
    :200B6000FCFCFCFCE0E0E0E01C1C1C1C00000000FCFCFCFCE0E0E0E0FCFCFCFC0000000035
    :200B8000FCFCFCFC1C1C1C1C0000000000000000FCFCFCFC1C1C1C1CE0E0E0E00000000015
    :200BA000FCFCFCFC1C1C1C1C1C1C1C1C00000000FCFCFCFC1C1C1C1CFCFCFCFC0000000015
    :200BC000FCFCFCFCFCFCFCFC0000000000000000FCFCFCFCFCFCFCFCE0E0E0E000000000D5
    :200BE000FCFCFCFCFCFCFCFC1C1C1C1C00000000FCFCFCFCFCFCFCFCFCFCFCFC00000000D5
    :200C0000FCFCFCFCFCFCFCFCFCFCFCFC0000000000000078887808700020100000000000E4
    :200C2000FCFCFCFCFCFCFCFCFCFCFCFC00000000000000788080807800102800000000003C
    :200C4000000000788888887808081050000000000000007080F8887000205000000000004C
    :200C600000000040404068500020100000000000FCFCFCFCFCFCFCFCFCFCFCFC00000000FC
    :200C80000000007888888888005028000000000000000070202020600020100000000000E4
    :200CA00000000078888888880020502000000000000000702020202020600808000000008C
    :200CC00000000070202020202060102800000000000000708888887000502800000000007C
    :200CE000000000888888C8B0002050000000000000000070888888700020100000000000CC
    :200D0000000000708888887000502000000000000000007888780870005028000000000083
    :200D200000000040404068500020500000000000000000F0087080780020500000000000FB
    :200D4000000000102020207020001028000000000000007888888888002010000000000093
    :200D6000FCFCFCFCFCFCFCFCFCFCFCFC000000000000007080F88870002010000000000093
    :200D8000000000788878087000204000000000000030087888888888002010000000000003
    :200DA000000000F8402010F80020500000000000FCFCFCFCFCFCFCFCFCFCFCFC0000000093
    :200DC00000000000000000000000205000000000FCFCFCFCFCFCFCFCFCFCFCFC00000000D3
    :200DE000000000000808F8000000000000000000FCFCFCFCFCFCFCFCFCFCFCFC000000001B
    :200E0000000000000000000000001008000000000000008888F8888850201008000000001A
    :200E2000FCFCFCFCFCFCFCFCFCFCFCFC000000000000007088808080887020500000000002
    :200E4000000000F08888888888F0205000000000000000F88080F08080F82050000000004A
    :200E60000000008890A0F08888F0201000000000FCFCFCFCFCFCFCFCFCFCFCFC00000000CA
    :200E800000000070888888888888502800000000000000702020202020702010000000008A
    :200EA00000000070888888888888606000000000000000F8808080808080201000000000AA
    :200EC000000000F880808080808020500000000000000070888888888870502800000000AA
    :200EE000000000888898A8C8888820500000000000000070888888888870201000000000A2
    :200F0000000000708888888888708870000000000000008888F88888502050280000000051
    :200F20000000008890A0F08888F02050000000000000007088087080887020500000000041
    :200F40000000002020202020A8F82050000000000000007088888888888820100000000011
    :200F6000FCFCFCFCFCFCFCFCFCFCFCFC00000000000000F88080F08080F820100000000091
    :200F80000000008888F88888502040800000000000000020202020508888201000000000F9
    :200FA000000000F88040201008F8205000000000FCFCFCFCFCFCFCFCFCFCFCFC0000000009
    :200FC000FCFCFCFCFCFCFCFCFCFCFCFC00000000FCFCFCFCFCFCFCFCFCFCFCFC0000000071
    :200FE000FCFCFCFCFCFCFCFCFCFCFCFC00000000FCFCFCFCFCFCFCFCFCFCFCFC0000000051
    :00000001FF
    

    Výpis generátoru znaků, upravené znaky 10H - 1FH, formát INTELHEX

    :2000000000000000000C1C38303030300000000030303030381C0C000000000000000000A0
    :200020003030303070E0C00000000000000000000000000000C0E070303030300000000020
    :2000400000000000003C3C30303030300000000030303030303C3C000000000000000000D0
    :200060003030303030F0F00000000000000000000000000000F0F0303030303000000000E0
    :200080000000000000FCFC30303030300000000030303030303C3C30303030300000000020
    :2000A0003030303030FCFC0000000000000000003030303030F0F030303030300000000098
    :2000C000303030303030303030303030000000000000000000FCFC000000000000000000E8
    :2000E0003030303030FCFC303030303000000000FCFCFCFCFCFCFCFCFCFCFCFC0000000058
    :2001000080C0C06060303018180C0C0400000000040C0C181830306060C0C0800000000007
    :2001200084CCCC787830307878CCCC840000000000000000000000000000FCFC000000004F
    :20014000FCFC0000000000000000000000000000C0C0C0C0C0C0C0C0C0C0C0C000000000A7
    :200160000C0C0C0C0C0C0C0C0C0C0C0C00000000CCCCCCCCCCCCCCCC78783030000000003F
    :2001800030307878CCCCCCCCCCCCCCCC0000000080C06030180C0C183060C08000000000C7
    :2001A000040C183060C0C06030180C040000000084CC783078CCCC783078CC8400000000D7
    :2001C00000000000FCFCFCFC000000000000000000000000FCFCFCFCFCFCFCFC000000004F
    :2001E000FCFCFCFCFCFCFCFC0000000000000000E0E0E0E01C1C1C1CE0E0E0E000000000AF
    :2002000000000000000000000000000000000000000000200020202020200000000000001E
    :2002200000000000000000A050505000000000000000005050F850F85050000000000000AE
    :2002400000000020F02870A078200000000000000000001898402010C8C000000000000016
    :200260000000006890A840A0A040000000000000000000000000004020202000000000007E
    :200280000000002040808080402000000000000000000020100808081020000000000000A6
    :2002A0000000000020A870A82000000000000000000000002020F8202000000000000000C6
    :2002C00000402020000000000000000000000000000000000000F8000000000000000000A6
    :2002E0000000002000000000000000000000000000000000804020100800000000000000E6
    :200300000000007088C8A89888700000000000000000007020202020602000000000000075
    :20032000000000F8808070088870000000000000000000708808301008F800000000000015
    :20034000000000101010F850301000000000000000000070880808F080F800000000000075
    :20036000000000708888F0804038000000000000000000804020100808F80000000000001D
    :2003800000000070888870888870000000000000000000E0100878888870000000000000FD
    :2003A00000000000002000200000000000000000004020200020000000000000000000005D
    :2003C000000000102040804020100000000000000000000000F800F80000000000000000CD
    :2003E0000000004020100810204000000000000000000020002010088870000000000000C5
    :200400000000007880B0B8A88870000000000000000000888888F888502000000000000054
    :20042000000000F08888F08888F0000000000000000000708880808088700000000000005C
    :20044000000000F08888888888F0000000000000000000F88080F08080F800000000000034
    :20046000000000808080F08080F8000000000000000000788898808088780000000000007C
    :20048000000000888888F888888800000000000000000070202020202070000000000000B4
    :2004A000000000708808080808080000000000000000008890A0C0A09088000000000000EC
    :2004C000000000F88080808080800000000000000000008888A8A8A8D888000000000000BC
    :2004E000000000888898A8C88888000000000000000000708888888888700000000000004C
    :20050000000000808080F08888F00000000000000000006890A888888870000000000000C3
    :200520000000008890A0F08888F0000000000000000000708808708088700000000000002B
    :200540000000002020202020A8F800000000000000000070888888888888000000000000BB
    :200560000000002020505088888800000000000000000050A8A8A888888800000000000023
    :2005800000000088885020508888000000000000000000202020205088880000000000009B
    :2005A000000000F88040201008F8000000000000000000F8C0C0C0C0C0F8000000000000A3
    :2005C00000000000081020408000000000000000000000F81818181818F8000000000000BB
    :2005E000000000202020A8702000000000000000000000F80000000000000000000000006B
    :2006000000000000000000102040000000000000000000788878087000000000000000007A
    :20062000000000F0888888F0808000000000000000000070808080700000000000000000E2
    :20064000000000788888887808080000000000000000007080F88870000000000000000022
    :2006600000000020202070202010000000000000003008788888887800000000000000009A
    :2006800000000088888888F0808000000000000000000070202020600020000000000000FA
    :2006A00000601010101010300010000000000000000000485060504840400000000000003A
    :2006C00000000070202020202060000000000000000000A8A8A8A8D000000000000000003A
    :2006E000000000888888C8B000000000000000000000007088888870000000000000000072
    :20070000008080F0888888F0000000000000000000080878888888780000000000000000C9
    :2007200000000040404060580000000000000000000000F0087080780000000000000000E1
    :20074000000000102020207020000000000000000000007888888888000000000000000001
    :200760000000002050888888000000000000000000000050A8A888880000000000000000C1
    :200780000000008850205088000000000000000000300878888888880000000000000000B9
    :2007A000000000F8402010F8000000000000000000000010202040202010000000000000F9
    :2007C000000000202020202020200000000000000000004020201020204000000000000029
    :2007E0000000000000000010A840000000000000A854A854A854A854A854A8540000000019
    :20080000000000000000000000000000000000000000000000000000E0E0E0E00000000058
    :2008200000000000000000001C1C1C1C000000000000000000000000FCFCFCFC0000000058
    :2008400000000000E0E0E0E0000000000000000000000000E0E0E0E0E0E0E0E00000000018
    :2008600000000000E0E0E0E01C1C1C1C0000000000000000E0E0E0E0FCFCFCFC0000000018
    :20088000000000001C1C1C1C0000000000000000000000001C1C1C1CE0E0E0E000000000F8
    :2008A000000000001C1C1C1C1C1C1C1C00000000000000001C1C1C1CFCFCFCFC00000000F8
    :2008C00000000000FCFCFCFC000000000000000000000000FCFCFCFCE0E0E0E000000000B8
    :2008E00000000000FCFCFCFC1C1C1C1C0000000000000000FCFCFCFCFCFCFCFC00000000B8
    :20090000E0E0E0E0000000000000000000000000E0E0E0E000000000E0E0E0E00000000057
    :20092000E0E0E0E0000000001C1C1C1C00000000E0E0E0E000000000FCFCFCFC0000000057
    :20094000E0E0E0E0E0E0E0E00000000000000000E0E0E0E0E0E0E0E0E0E0E0E00000000017
    :20096000E0E0E0E0E0E0E0E01C1C1C1C00000000E0E0E0E0E0E0E0E0FCFCFCFC0000000017
    :20098000E0E0E0E01C1C1C1C0000000000000000E0E0E0E01C1C1C1CE0E0E0E000000000F7
    :2009A000E0E0E0E01C1C1C1C1C1C1C1C00000000E0E0E0E01C1C1C1CFCFCFCFC00000000F7
    :2009C000E0E0E0E0FCFCFCFC0000000000000000E0E0E0E0FCFCFCFCE0E0E0E000000000B7
    :2009E000E0E0E0E0FCFCFCFC1C1C1C1C00000000E0E0E0E0FCFCFCFCFCFCFCFC00000000B7
    :200A00001C1C1C1C0000000000000000000000001C1C1C1C00000000E0E0E0E00000000076
    :200A20001C1C1C1C000000001C1C1C1C000000001C1C1C1C00000000FCFCFCFC0000000076
    :200A40001C1C1C1CE0E0E0E000000000000000001C1C1C1CE0E0E0E0E0E0E0E00000000036
    :200A60001C1C1C1CE0E0E0E01C1C1C1C000000001C1C1C1CE0E0E0E0FCFCFCFC0000000036
    :200A80001C1C1C1C1C1C1C1C00000000000000001C1C1C1C1C1C1C1CE0E0E0E00000000016
    :200AA0001C1C1C1C1C1C1C1C1C1C1C1C000000001C1C1C1C1C1C1C1CFCFCFCFC0000000016
    :200AC0001C1C1C1CFCFCFCFC00000000000000001C1C1C1CFCFCFCFCE0E0E0E000000000D6
    :200AE0001C1C1C1CFCFCFCFC1C1C1C1C000000001C1C1C1CFCFCFCFCFCFCFCFC00000000D6
    :200B0000FCFCFCFC000000000000000000000000FCFCFCFC00000000E0E0E0E00000000075
    :200B2000FCFCFCFC000000001C1C1C1C00000000FCFCFCFC00000000FCFCFCFC0000000075
    :200B4000FCFCFCFCE0E0E0E00000000000000000FCFCFCFCE0E0E0E0E0E0E0E00000000035
    :200B6000FCFCFCFCE0E0E0E01C1C1C1C00000000FCFCFCFCE0E0E0E0FCFCFCFC0000000035
    :200B8000FCFCFCFC1C1C1C1C0000000000000000FCFCFCFC1C1C1C1CE0E0E0E00000000015
    :200BA000FCFCFCFC1C1C1C1C1C1C1C1C00000000FCFCFCFC1C1C1C1CFCFCFCFC0000000015
    :200BC000FCFCFCFCFCFCFCFC0000000000000000FCFCFCFCFCFCFCFCE0E0E0E000000000D5
    :200BE000FCFCFCFCFCFCFCFC1C1C1C1C00000000FCFCFCFCFCFCFCFCFCFCFCFC00000000D5
    :200C0000FCFCFCFCFCFCFCFCFCFCFCFC0000000000000078887808700020100000000000E4
    :200C2000FCFCFCFCFCFCFCFCFCFCFCFC00000000000000788080807800102800000000003C
    :200C4000000000788888887808081050000000000000007080F8887000205000000000004C
    :200C600000000040404068500020100000000000FCFCFCFCFCFCFCFCFCFCFCFC00000000FC
    :200C80000000007888888888005028000000000000000070202020600020100000000000E4
    :200CA00000000078888888880020502000000000000000702020202020600808000000008C
    :200CC00000000070202020202060102800000000000000708888887000502800000000007C
    :200CE000000000888888C8B0002050000000000000000070888888700020100000000000CC
    :200D0000000000708888887000502000000000000000007888780870005028000000000083
    :200D200000000040404068500020500000000000000000F0087080780020500000000000FB
    :200D4000000000102020207020001028000000000000007888888888002010000000000093
    :200D6000FCFCFCFCFCFCFCFCFCFCFCFC000000000000007080F88870002010000000000093
    :200D8000000000788878087000204000000000000030087888888888002010000000000003
    :200DA000000000F8402010F80020500000000000FCFCFCFCFCFCFCFCFCFCFCFC0000000093
    :200DC00000000000000000000000205000000000FCFCFCFCFCFCFCFCFCFCFCFC00000000D3
    :200DE000000000000808F8000000000000000000FCFCFCFCFCFCFCFCFCFCFCFC000000001B
    :200E0000000000000000000000001008000000000000008888F8888850201008000000001A
    :200E2000FCFCFCFCFCFCFCFCFCFCFCFC000000000000007088808080887020500000000002
    :200E4000000000F08888888888F0205000000000000000F88080F08080F82050000000004A
    :200E60000000008890A0F08888F0201000000000FCFCFCFCFCFCFCFCFCFCFCFC00000000CA
    :200E800000000070888888888888502800000000000000702020202020702010000000008A
    :200EA00000000070888888888888606000000000000000F8808080808080201000000000AA
    :200EC000000000F880808080808020500000000000000070888888888870502800000000AA
    :200EE000000000888898A8C8888820500000000000000070888888888870201000000000A2
    :200F0000000000708888888888708870000000000000008888F88888502050280000000051
    :200F20000000008890A0F08888F02050000000000000007088087080887020500000000041
    :200F40000000002020202020A8F82050000000000000007088888888888820100000000011
    :200F6000FCFCFCFCFCFCFCFCFCFCFCFC00000000000000F88080F08080F820100000000091
    :200F80000000008888F88888502040800000000000000020202020508888201000000000F9
    :200FA000000000F88040201008F8205000000000FCFCFCFCFCFCFCFCFCFCFCFC0000000009
    :200FC000FCFCFCFCFCFCFCFCFCFCFCFC00000000FCFCFCFCFCFCFCFCFCFCFCFC0000000071
    :200FE000FCFCFCFCFCFCFCFCFCFCFCFC00000000FCFCFCFCFCFCFCFCFCFCFCFC0000000051
    :00000001FF
    



  25. Grafické znázornění znaků
  26. Grafické znázornění znaků standardní

      765432   765432   765432   765432   765432   765432   765432   765432
    B ..XX.. B ...... B ...... B ..XX.. B ..XX.. B ...... B ...... B ..XX..
    A ..XX.. A ...... A ...... A ..XX.. A ..XX.. A ...... A ...... A ..XX..
    9 ..XX.. 9 ...... 9 ...... 9 ..XX.. 9 ..XX.. 9 ...... 9 ...... 9 ..XX..
    8 ..XX.. 8 ...... 8 ...... 8 ..XX.. 8 ..XX.. 8 ...... 8 ...... 8 ..XX..
    7 ..XXX. 7 ...... 7 ...... 7 .XXX.. 7 ..XX.. 7 ...... 7 ...... 7 ..XX..
    6 ...XXX 6 ....XX 6 XX.... 6 XXX... 6 ..XXXX 6 ..XXXX 6 XXXX.. 6 XXXX..
    5 ....XX 5 ...XXX 5 XXX... 5 XX.... 5 ..XXXX 5 ..XXXX 5 XXXX.. 5 XXXX..
    4 ...... 4 ..XXX. 4 .XXX.. 4 ...... 4 ...... 4 ..XX.. 4 ..XX.. 4 ......
    3 ...... 3 ..XX.. 3 ..XX.. 3 ...... 3 ...... 3 ..XX.. 3 ..XX.. 3 ......
    2 ...... 2 ..XX.. 2 ..XX.. 2 ...... 2 ...... 2 ..XX.. 2 ..XX.. 2 ......
    1 ...... 1 ..XX.. 1 ..XX.. 1 ...... 1 ...... 1 ..XX.. 1 ..XX.. 1 ......
    0 ...... 0 ..XX.. 0 ..XX.. 0 ...... 0 ...... 0 ..XX.. 0 ..XX.. 0 ......
    
    00000000 00000001 00000010 00000011 00000100 00000101 00000110 00000111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ..XX.. B ..XX.. B ...... B ..XX.. B ..XX.. B ...... B ..XX.. B XXXXXX
    A ..XX.. A ..XX.. A ...... A ..XX.. A ..XX.. A ...... A ..XX.. A XXXXXX
    9 ..XX.. 9 ..XX.. 9 ...... 9 ..XX.. 9 ..XX.. 9 ...... 9 ..XX.. 9 XXXXXX
    8 ..XX.. 8 ..XX.. 8 ...... 8 ..XX.. 8 ..XX.. 8 ...... 8 ..XX.. 8 XXXXXX
    7 ..XX.. 7 ..XX.. 7 ...... 7 ..XX.. 7 ..XX.. 7 ...... 7 ..XX.. 7 XXXXXX
    6 XXXXXX 6 ..XXXX 6 XXXXXX 6 XXXX.. 6 ..XX.. 6 XXXXXX 6 XXXXXX 6 XXXXXX
    5 XXXXXX 5 ..XXXX 5 XXXXXX 5 XXXX.. 5 ..XX.. 5 XXXXXX 5 XXXXXX 5 XXXXXX
    4 ...... 4 ..XX.. 4 ..XX.. 4 ..XX.. 4 ..XX.. 4 ...... 4 ..XX.. 4 XXXXXX
    3 ...... 3 ..XX.. 3 ..XX.. 3 ..XX.. 3 ..XX.. 3 ...... 3 ..XX.. 3 XXXXXX
    2 ...... 2 ..XX.. 2 ..XX.. 2 ..XX.. 2 ..XX.. 2 ...... 2 ..XX.. 2 XXXXXX
    1 ...... 1 ..XX.. 1 ..XX.. 1 ..XX.. 1 ..XX.. 1 ...... 1 ..XX.. 1 XXXXXX
    0 ...... 0 ..XX.. 0 ..XX.. 0 ..XX.. 0 ..XX.. 0 ...... 0 ..XX.. 0 XXXXXX
    
    00001000 00001001 00001010 00001011 00001100 00001101 00001110 00001111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B XXXXXX B XXXXXX B XXXXXX B XXXXXX B XXXXXX B XXXXXX B XXXXXX B XXXXXX
    A XXXXXX A XXXXXX A XXXXXX A XXXXXX A XXXXXX A XXXXXX A XXXXXX A XXXXXX
    9 XXXXXX 9 XXXXXX 9 XXXXXX 9 XXXXXX 9 XXXXXX 9 XXXXXX 9 XXXXXX 9 XXXXXX
    8 XXXXXX 8 XXXXXX 8 XXXXXX 8 XXXXXX 8 XXXXXX 8 XXXXXX 8 XXXXXX 8 XXXXXX
    7 XXXXXX 7 XXXXXX 7 XXXXXX 7 XXXXXX 7 XXXXXX 7 XXXXXX 7 XXXXXX 7 XXXXXX
    6 XXXXXX 6 XXXXXX 6 XXXXXX 6 XXXXXX 6 XXXXXX 6 XXXXXX 6 XXXXXX 6 XXXXXX
    5 XXXXXX 5 XXXXXX 5 XXXXXX 5 XXXXXX 5 XXXXXX 5 XXXXXX 5 XXXXXX 5 XXXXXX
    4 XXXXXX 4 XXXXXX 4 XXXXXX 4 XXXXXX 4 XXXXXX 4 XXXXXX 4 XXXXXX 4 XXXXXX
    3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX
    2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX
    1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX
    0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX
    
    00010000 00010001 00010010 00010011 00010100 00010101 00010110 00010111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B XXXXXX B XXXXXX B XXXXXX B XXXXXX B XXXXXX B XXXXXX B XXXXXX B XXXXXX
    A XXXXXX A XXXXXX A XXXXXX A XXXXXX A XXXXXX A XXXXXX A XXXXXX A XXXXXX
    9 XXXXXX 9 XXXXXX 9 XXXXXX 9 XXXXXX 9 XXXXXX 9 XXXXXX 9 XXXXXX 9 XXXXXX
    8 XXXXXX 8 XXXXXX 8 XXXXXX 8 XXXXXX 8 XXXXXX 8 XXXXXX 8 XXXXXX 8 XXXXXX
    7 XXXXXX 7 XXXXXX 7 XXXXXX 7 XXXXXX 7 XXXXXX 7 XXXXXX 7 XXXXXX 7 XXXXXX
    6 XXXXXX 6 XXXXXX 6 XXXXXX 6 XXXXXX 6 XXXXXX 6 XXXXXX 6 XXXXXX 6 XXXXXX
    5 XXXXXX 5 XXXXXX 5 XXXXXX 5 XXXXXX 5 XXXXXX 5 XXXXXX 5 XXXXXX 5 XXXXXX
    4 XXXXXX 4 XXXXXX 4 XXXXXX 4 XXXXXX 4 XXXXXX 4 XXXXXX 4 XXXXXX 4 XXXXXX
    3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX
    2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX
    1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX
    0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX
    
    00011000 00011001 00011010 00011011 00011100 00011101 00011110 00011111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B ...... B ...... B ...... B ...... B ...... B ...... B ......
    A ...... A ...... A .X.X.. A ...... A ...... A ...... A ...... A ..X...
    9 ...... 9 ..X... 9 .X.X.. 9 .X.X.. 9 ..X... 9 XX.... 9 .X.... 9 ..X...
    8 ...... 8 ..X... 8 .X.X.. 8 .X.X.. 8 .XXXX. 8 XX..X. 8 X.X... 8 ..X...
    7 ...... 7 ..X... 7 X.X... 7 XXXXX. 7 X.X... 7 ...X.. 7 X.X... 7 .X....
    6 ...... 6 ..X... 6 ...... 6 .X.X.. 6 .XXX.. 6 ..X... 6 .X.... 6 ......
    5 ...... 5 ..X... 5 ...... 5 XXXXX. 5 ..X.X. 5 .X.... 5 X.X.X. 5 ......
    4 ...... 4 ...... 4 ...... 4 .X.X.. 4 XXXX.. 4 X..XX. 4 X..X.. 4 ......
    3 ...... 3 ..X... 3 ...... 3 .X.X.. 3 ..X... 3 ...XX. 3 .XX.X. 3 ......
    2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ......
    1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ......
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ......
    
    00100000 00100001 00100010 00100011 00100100 00100101 00100110 00100111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B ...... B ...... B ...... B ...... B ...... B ...... B ......
    A ...... A ...... A ...... A ...... A ...... A ...... A ...... A ......
    9 ..X... 9 ..X... 9 ...... 9 ...... 9 ...... 9 ...... 9 ...... 9 ......
    8 .X.... 8 ...X.. 8 ..X... 8 ..X... 8 ...... 8 ...... 8 ...... 8 ....X.
    7 X..... 7 ....X. 7 X.X.X. 7 ..X... 7 ...... 7 ...... 7 ...... 7 ...X..
    6 X..... 6 ....X. 6 .XXX.. 6 XXXXX. 6 ...... 6 XXXXX. 6 ...... 6 ..X...
    5 X..... 5 ....X. 5 X.X.X. 5 ..X... 5 ...... 5 ...... 5 ...... 5 .X....
    4 .X.... 4 ...X.. 4 ..X... 4 ..X... 4 ...... 4 ...... 4 ...... 4 X.....
    3 ..X... 3 ..X... 3 ...... 3 ...... 3 ..X... 3 ...... 3 ..X... 3 ......
    2 ...... 2 ...... 2 ...... 2 ...... 2 ..X... 2 ...... 2 ...... 2 ......
    1 ...... 1 ...... 1 ...... 1 ...... 1 .X.... 1 ...... 1 ...... 1 ......
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ......
    
    00101000 00101001 00101010 00101011 00101100 00101101 00101110 00101111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B ...... B ...... B ...... B ...... B ...... B ...... B ......
    A ...... A ...... A ...... A ...... A ...... A ...... A ...... A ......
    9 .XXX.. 9 ..X... 9 .XXX.. 9 XXXXX. 9 ...X.. 9 XXXXX. 9 ..XXX. 9 XXXXX.
    8 X...X. 8 .XX... 8 X...X. 8 ....X. 8 ..XX.. 8 X..... 8 .X.... 8 ....X.
    7 X..XX. 7 ..X... 7 ....X. 7 ...X.. 7 .X.X.. 7 XXXX.. 7 X..... 7 ....X.
    6 X.X.X. 6 ..X... 6 .XXX.. 6 ..XX.. 6 XXXXX. 6 ....X. 6 XXXX.. 6 ...X..
    5 XX..X. 5 ..X... 5 X..... 5 ....X. 5 ...X.. 5 ....X. 5 X...X. 5 ..X...
    4 X...X. 4 ..X... 4 X..... 4 X...X. 4 ...X.. 4 X...X. 4 X...X. 4 .X....
    3 .XXX.. 3 .XXX.. 3 XXXXX. 3 .XXX.. 3 ...X.. 3 .XXX.. 3 .XXX.. 3 X.....
    2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ......
    1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ......
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ......
    
    00110000 00110001 00110010 00110011 00110100 00110101 00110110 00110111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B ...... B ...... B ...... B ...... B ...... B ...... B ......
    A ...... A ...... A ...... A ...... A ...... A ...... A ...... A ......
    9 .XXX.. 9 .XXX.. 9 ...... 9 ...... 9 ...X.. 9 ...... 9 .X.... 9 .XXX..
    8 X...X. 8 X...X. 8 ...... 8 ...... 8 ..X... 8 ...... 8 ..X... 8 X...X.
    7 X...X. 7 X...X. 7 ..X... 7 ...... 7 .X.... 7 XXXXX. 7 ...X.. 7 ....X.
    6 .XXX.. 6 .XXXX. 6 ...... 6 ...... 6 X..... 6 ...... 6 ....X. 6 ...X..
    5 X...X. 5 ....X. 5 ..X... 5 ..X... 5 .X.... 5 XXXXX. 5 ...X.. 5 ..X...
    4 X...X. 4 ...X.. 4 ...... 4 ...... 4 ..X... 4 ...... 4 ..X... 4 ......
    3 .XXX.. 3 XXX... 3 ...... 3 ..X... 3 ...X.. 3 ...... 3 .X.... 3 ..X...
    2 ...... 2 ...... 2 ...... 2 ..X... 2 ...... 2 ...... 2 ...... 2 ......
    1 ...... 1 ...... 1 ...... 1 .X.... 1 ...... 1 ...... 1 ...... 1 ......
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ......
    
    00111000 00111001 00111010 00111011 00111100 00111101 00111110 00111111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B ...... B ...... B ...... B ...... B ...... B ...... B ......
    A ...... A ...... A ...... A ...... A ...... A ...... A ...... A ......
    9 .XXX.. 9 ..X... 9 XXXX.. 9 .XXX.. 9 XXXX.. 9 XXXXX. 9 XXXXX. 9 .XXXX.
    8 X...X. 8 .X.X.. 8 X...X. 8 X...X. 8 X...X. 8 X..... 8 X..... 8 X...X.
    7 X.X.X. 7 X...X. 7 X...X. 7 X..... 7 X...X. 7 X..... 7 X..... 7 X.....
    6 X.XXX. 6 XXXXX. 6 XXXX.. 6 X..... 6 X...X. 6 XXXX.. 6 XXXX.. 6 X.....
    5 X.XX.. 5 X...X. 5 X...X. 5 X..... 5 X...X. 5 X..... 5 X..... 5 X..XX.
    4 X..... 4 X...X. 4 X...X. 4 X...X. 4 X...X. 4 X..... 4 X..... 4 X...X.
    3 .XXXX. 3 X...X. 3 XXXX.. 3 .XXX.. 3 XXXX.. 3 XXXXX. 3 X..... 3 .XXXX.
    2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ......
    1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ......
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ......
    
    01000000 01000001 01000010 01000011 01000100 01000101 01000110 01000111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B ...... B ...... B ...... B ...... B ...... B ...... B ......
    A ...... A ...... A ...... A ...... A ...... A ...... A ...... A ......
    9 X...X. 9 .XXX.. 9 ....X. 9 X...X. 9 X..... 9 X...X. 9 X...X. 9 .XXX..
    8 X...X. 8 ..X... 8 ....X. 8 X..X.. 8 X..... 8 XX.XX. 8 X...X. 8 X...X.
    7 X...X. 7 ..X... 7 ....X. 7 X.X... 7 X..... 7 X.X.X. 7 XX..X. 7 X...X.
    6 XXXXX. 6 ..X... 6 ....X. 6 XX.... 6 X..... 6 X.X.X. 6 X.X.X. 6 X...X.
    5 X...X. 5 ..X... 5 ....X. 5 X.X... 5 X..... 5 X.X.X. 5 X..XX. 5 X...X.
    4 X...X. 4 ..X... 4 X...X. 4 X..X.. 4 X..... 4 X...X. 4 X...X. 4 X...X.
    3 X...X. 3 .XXX.. 3 .XXX.. 3 X...X. 3 XXXXX. 3 X...X. 3 X...X. 3 .XXX..
    2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ......
    1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ......
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ......
    
    01001000 01001001 01001010 01001011 01001100 01001101 01001110 01001111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B ...... B ...... B ...... B ...... B ...... B ...... B ......
    A ...... A ...... A ...... A ...... A ...... A ...... A ...... A ......
    9 XXXX.. 9 .XXX.. 9 XXXX.. 9 .XXX.. 9 XXXXX. 9 X...X. 9 X...X. 9 X...X.
    8 X...X. 8 X...X. 8 X...X. 8 X...X. 8 X.X.X. 8 X...X. 8 X...X. 8 X...X.
    7 X...X. 7 X...X. 7 X...X. 7 X..... 7 ..X... 7 X...X. 7 X...X. 7 X...X.
    6 XXXX.. 6 X...X. 6 XXXX.. 6 .XXX.. 6 ..X... 6 X...X. 6 .X.X.. 6 X.X.X.
    5 X..... 5 X.X.X. 5 X.X... 5 ....X. 5 ..X... 5 X...X. 5 .X.X.. 5 X.X.X.
    4 X..... 4 X..X.. 4 X..X.. 4 X...X. 4 ..X... 4 X...X. 4 ..X... 4 X.X.X.
    3 X..... 3 .XX.X. 3 X...X. 3 .XXX.. 3 ..X... 3 .XXX.. 3 ..X... 3 .X.X..
    2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ......
    1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ......
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ......
    
    01010000 01010001 01010010 01010011 01010100 01010101 01010110 01010111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B ...... B ...... B ...... B ...... B ...... B ...... B ......
    A ...... A ...... A ...... A ...... A ...... A ...... A ...... A ......
    9 X...X. 9 X...X. 9 XXXXX. 9 XXXXX. 9 ...... 9 XXXXX. 9 ...... 9 ......
    8 X...X. 8 X...X. 8 ....X. 8 XX.... 8 X..... 8 ...XX. 8 ..X... 8 ......
    7 .X.X.. 7 .X.X.. 7 ...X.. 7 XX.... 7 .X.... 7 ...XX. 7 .XXX.. 7 ......
    6 ..X... 6 ..X... 6 ..X... 6 XX.... 6 ..X... 6 ...XX. 6 X.X.X. 6 ......
    5 .X.X.. 5 ..X... 5 .X.... 5 XX.... 5 ...X.. 5 ...XX. 5 ..X... 5 ......
    4 X...X. 4 ..X... 4 X..... 4 XX.... 4 ....X. 4 ...XX. 4 ..X... 4 ......
    3 X...X. 3 ..X... 3 XXXXX. 3 XXXXX. 3 ...... 3 XXXXX. 3 ..X... 3 XXXXX.
    2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ......
    1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ......
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ......
    
    01011000 01011001 01011010 01011011 01011100 01011101 01011110 01011111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B ...... B ...... B ...... B ...... B ...... B ...... B ......
    A ...... A ...... A ...... A ...... A ...... A ...... A ...... A ......
    9 .X.... 9 ...... 9 X..... 9 ...... 9 ....X. 9 ...... 9 ...X.. 9 ......
    8 ..X... 8 ...... 8 X..... 8 ...... 8 ....X. 8 ...... 8 ..X... 8 ......
    7 ...X.. 7 .XXX.. 7 XXXX.. 7 .XXX.. 7 .XXXX. 7 .XXX.. 7 ..X... 7 .XXXX.
    6 ...... 6 ....X. 6 X...X. 6 X..... 6 X...X. 6 X...X. 6 .XXX.. 6 X...X.
    5 ...... 5 .XXXX. 5 X...X. 5 X..... 5 X...X. 5 XXXXX. 5 ..X... 5 X...X.
    4 ...... 4 X...X. 4 X...X. 4 X..... 4 X...X. 4 X..... 4 ..X... 4 X...X.
    3 ...... 3 .XXXX. 3 XXXX.. 3 .XXX.. 3 .XXXX. 3 .XXX.. 3 ..X... 3 .XXXX.
    2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ....X.
    1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ..XX..
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ......
    
    01100000 01100001 01100010 01100011 01100100 01100101 01100110 01100111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B ...... B ...... B ...... B ...... B ...... B ...... B ......
    A ...... A ...... A ...... A ...... A ...... A ...... A ...... A ......
    9 X..... 9 ..X... 9 ...X.. 9 .X.... 9 .XX... 9 ...... 9 ...... 9 ......
    8 X..... 8 ...... 8 ...... 8 .X.... 8 ..X... 8 ...... 8 ...... 8 ......
    7 XXXX.. 7 .XX... 7 ..XX.. 7 .X..X. 7 ..X... 7 XX.X.. 7 X.XX.. 7 .XXX..
    6 X...X. 6 ..X... 6 ...X.. 6 .X.X.. 6 ..X... 6 X.X.X. 6 XX..X. 6 X...X.
    5 X...X. 5 ..X... 5 ...X.. 5 .XX... 5 ..X... 5 X.X.X. 5 X...X. 5 X...X.
    4 X...X. 4 ..X... 4 ...X.. 4 .X.X.. 4 ..X... 4 X.X.X. 4 X...X. 4 X...X.
    3 X...X. 3 .XXX.. 3 ...X.. 3 .X..X. 3 .XXX.. 3 X.X.X. 3 X...X. 3 .XXX..
    2 ...... 2 ...... 2 ...X.. 2 ...... 2 ...... 2 ...... 2 ...... 2 ......
    1 ...... 1 ...... 1 .XX... 1 ...... 1 ...... 1 ...... 1 ...... 1 ......
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ......
    
    01101000 01101001 01101010 01101011 01101100 01101101 01101110 01101111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B ...... B ...... B ...... B ...... B ...... B ...... B ......
    A ...... A ...... A ...... A ...... A ...... A ...... A ...... A ......
    9 ...... 9 ...... 9 ...... 9 ...... 9 ...... 9 ...... 9 ...... 9 ......
    8 ...... 8 ...... 8 ...... 8 ...... 8 ..X... 8 ...... 8 ...... 8 ......
    7 XXXX.. 7 .XXXX. 7 .X.XX. 7 .XXXX. 7 .XXX.. 7 X...X. 7 X...X. 7 X...X.
    6 X...X. 6 X...X. 6 .XX... 6 X..... 6 ..X... 6 X...X. 6 X...X. 6 X...X.
    5 X...X. 5 X...X. 5 .X.... 5 .XXX.. 5 ..X... 5 X...X. 5 X...X. 5 X.X.X.
    4 X...X. 4 X...X. 4 .X.... 4 ....X. 4 ..X... 4 X...X. 4 .X.X.. 4 X.X.X.
    3 XXXX.. 3 .XXXX. 3 .X.... 3 XXXX.. 3 ...X.. 3 .XXXX. 3 ..X... 3 .X.X..
    2 X..... 2 ....X. 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ......
    1 X..... 1 ....X. 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ......
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ......
    
    01110000 01110001 01110010 01110011 01110100 01110101 01110110 01110111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B ...... B ...... B ...... B ...... B ...... B ...... B .X.X.X
    A ...... A ...... A ...... A ...... A ...... A ...... A ...... A X.X.X.
    9 ...... 9 ...... 9 ...... 9 ...X.. 9 ..X... 9 .X.... 9 .X.... 9 .X.X.X
    8 ...... 8 ...... 8 ...... 8 ..X... 8 ..X... 8 ..X... 8 X.X.X. 8 X.X.X.
    7 X...X. 7 X...X. 7 XXXXX. 7 ..X... 7 ..X... 7 ..X... 7 ...X.. 7 .X.X.X
    6 .X.X.. 6 X...X. 6 ...X.. 6 .X.... 6 ..X... 6 ...X.. 6 ...... 6 X.X.X.
    5 ..X... 5 X...X. 5 ..X... 5 ..X... 5 ..X... 5 ..X... 5 ...... 5 .X.X.X
    4 .X.X.. 4 X...X. 4 .X.... 4 ..X... 4 ..X... 4 ..X... 4 ...... 4 X.X.X.
    3 X...X. 3 .XXXX. 3 XXXXX. 3 ...X.. 3 ..X... 3 .X.... 3 ...... 3 .X.X.X
    2 ...... 2 ....X. 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 X.X.X.
    1 ...... 1 ..XX.. 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 .X.X.X
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 X.X.X.
    
    01111000 01111001 01111010 01111011 01111100 01111101 01111110 01111111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B XXX... B ...XXX B XXXXXX B ...... B XXX... B ...XXX B XXXXXX
    A ...... A XXX... A ...XXX A XXXXXX A ...... A XXX... A ...XXX A XXXXXX
    9 ...... 9 XXX... 9 ...XXX 9 XXXXXX 9 ...... 9 XXX... 9 ...XXX 9 XXXXXX
    8 ...... 8 XXX... 8 ...XXX 8 XXXXXX 8 ...... 8 XXX... 8 ...XXX 8 XXXXXX
    7 ...... 7 ...... 7 ...... 7 ...... 7 XXX... 7 XXX... 7 XXX... 7 XXX...
    6 ...... 6 ...... 6 ...... 6 ...... 6 XXX... 6 XXX... 6 XXX... 6 XXX...
    5 ...... 5 ...... 5 ...... 5 ...... 5 XXX... 5 XXX... 5 XXX... 5 XXX...
    4 ...... 4 ...... 4 ...... 4 ...... 4 XXX... 4 XXX... 4 XXX... 4 XXX...
    3 ...... 3 ...... 3 ...... 3 ...... 3 ...... 3 ...... 3 ...... 3 ......
    2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ......
    1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ......
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ......
    
    10000000 10000001 10000010 10000011 10000100 10000101 10000110 10000111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B XXX... B ...XXX B XXXXXX B ...... B XXX... B ...XXX B XXXXXX
    A ...... A XXX... A ...XXX A XXXXXX A ...... A XXX... A ...XXX A XXXXXX
    9 ...... 9 XXX... 9 ...XXX 9 XXXXXX 9 ...... 9 XXX... 9 ...XXX 9 XXXXXX
    8 ...... 8 XXX... 8 ...XXX 8 XXXXXX 8 ...... 8 XXX... 8 ...XXX 8 XXXXXX
    7 ...XXX 7 ...XXX 7 ...XXX 7 ...XXX 7 XXXXXX 7 XXXXXX 7 XXXXXX 7 XXXXXX
    6 ...XXX 6 ...XXX 6 ...XXX 6 ...XXX 6 XXXXXX 6 XXXXXX 6 XXXXXX 6 XXXXXX
    5 ...XXX 5 ...XXX 5 ...XXX 5 ...XXX 5 XXXXXX 5 XXXXXX 5 XXXXXX 5 XXXXXX
    4 ...XXX 4 ...XXX 4 ...XXX 4 ...XXX 4 XXXXXX 4 XXXXXX 4 XXXXXX 4 XXXXXX
    3 ...... 3 ...... 3 ...... 3 ...... 3 ...... 3 ...... 3 ...... 3 ......
    2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ......
    1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ......
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ......
    
    10001000 10001001 10001010 10001011 10001100 10001101 10001110 10001111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B XXX... B ...XXX B XXXXXX B ...... B XXX... B ...XXX B XXXXXX
    A ...... A XXX... A ...XXX A XXXXXX A ...... A XXX... A ...XXX A XXXXXX
    9 ...... 9 XXX... 9 ...XXX 9 XXXXXX 9 ...... 9 XXX... 9 ...XXX 9 XXXXXX
    8 ...... 8 XXX... 8 ...XXX 8 XXXXXX 8 ...... 8 XXX... 8 ...XXX 8 XXXXXX
    7 ...... 7 ...... 7 ...... 7 ...... 7 XXX... 7 XXX... 7 XXX... 7 XXX...
    6 ...... 6 ...... 6 ...... 6 ...... 6 XXX... 6 XXX... 6 XXX... 6 XXX...
    5 ...... 5 ...... 5 ...... 5 ...... 5 XXX... 5 XXX... 5 XXX... 5 XXX...
    4 ...... 4 ...... 4 ...... 4 ...... 4 XXX... 4 XXX... 4 XXX... 4 XXX...
    3 XXX... 3 XXX... 3 XXX... 3 XXX... 3 XXX... 3 XXX... 3 XXX... 3 XXX...
    2 XXX... 2 XXX... 2 XXX... 2 XXX... 2 XXX... 2 XXX... 2 XXX... 2 XXX...
    1 XXX... 1 XXX... 1 XXX... 1 XXX... 1 XXX... 1 XXX... 1 XXX... 1 XXX...
    0 XXX... 0 XXX... 0 XXX... 0 XXX... 0 XXX... 0 XXX... 0 XXX... 0 XXX...
    
    10010000 10010001 10010010 10010011 10010100 10010101 10010110 10010111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B XXX... B ...XXX B XXXXXX B ...... B XXX... B ...XXX B XXXXXX
    A ...... A XXX... A ...XXX A XXXXXX A ...... A XXX... A ...XXX A XXXXXX
    9 ...... 9 XXX... 9 ...XXX 9 XXXXXX 9 ...... 9 XXX... 9 ...XXX 9 XXXXXX
    8 ...... 8 XXX... 8 ...XXX 8 XXXXXX 8 ...... 8 XXX... 8 ...XXX 8 XXXXXX
    7 ...XXX 7 ...XXX 7 ...XXX 7 ...XXX 7 XXXXXX 7 XXXXXX 7 XXXXXX 7 XXXXXX
    6 ...XXX 6 ...XXX 6 ...XXX 6 ...XXX 6 XXXXXX 6 XXXXXX 6 XXXXXX 6 XXXXXX
    5 ...XXX 5 ...XXX 5 ...XXX 5 ...XXX 5 XXXXXX 5 XXXXXX 5 XXXXXX 5 XXXXXX
    4 ...XXX 4 ...XXX 4 ...XXX 4 ...XXX 4 XXXXXX 4 XXXXXX 4 XXXXXX 4 XXXXXX
    3 XXX... 3 XXX... 3 XXX... 3 XXX... 3 XXX... 3 XXX... 3 XXX... 3 XXX...
    2 XXX... 2 XXX... 2 XXX... 2 XXX... 2 XXX... 2 XXX... 2 XXX... 2 XXX...
    1 XXX... 1 XXX... 1 XXX... 1 XXX... 1 XXX... 1 XXX... 1 XXX... 1 XXX...
    0 XXX... 0 XXX... 0 XXX... 0 XXX... 0 XXX... 0 XXX... 0 XXX... 0 XXX...
    
    10011000 10011001 10011010 10011011 10011100 10011101 10011110 10011111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B XXX... B ...XXX B XXXXXX B ...... B XXX... B ...XXX B XXXXXX
    A ...... A XXX... A ...XXX A XXXXXX A ...... A XXX... A ...XXX A XXXXXX
    9 ...... 9 XXX... 9 ...XXX 9 XXXXXX 9 ...... 9 XXX... 9 ...XXX 9 XXXXXX
    8 ...... 8 XXX... 8 ...XXX 8 XXXXXX 8 ...... 8 XXX... 8 ...XXX 8 XXXXXX
    7 ...... 7 ...... 7 ...... 7 ...... 7 XXX... 7 XXX... 7 XXX... 7 XXX...
    6 ...... 6 ...... 6 ...... 6 ...... 6 XXX... 6 XXX... 6 XXX... 6 XXX...
    5 ...... 5 ...... 5 ...... 5 ...... 5 XXX... 5 XXX... 5 XXX... 5 XXX...
    4 ...... 4 ...... 4 ...... 4 ...... 4 XXX... 4 XXX... 4 XXX... 4 XXX...
    3 ...XXX 3 ...XXX 3 ...XXX 3 ...XXX 3 ...XXX 3 ...XXX 3 ...XXX 3 ...XXX
    2 ...XXX 2 ...XXX 2 ...XXX 2 ...XXX 2 ...XXX 2 ...XXX 2 ...XXX 2 ...XXX
    1 ...XXX 1 ...XXX 1 ...XXX 1 ...XXX 1 ...XXX 1 ...XXX 1 ...XXX 1 ...XXX
    0 ...XXX 0 ...XXX 0 ...XXX 0 ...XXX 0 ...XXX 0 ...XXX 0 ...XXX 0 ...XXX
    
    10100000 10100001 10100010 10100011 10100100 10100101 10100110 10100111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B XXX... B ...XXX B XXXXXX B ...... B XXX... B ...XXX B XXXXXX
    A ...... A XXX... A ...XXX A XXXXXX A ...... A XXX... A ...XXX A XXXXXX
    9 ...... 9 XXX... 9 ...XXX 9 XXXXXX 9 ...... 9 XXX... 9 ...XXX 9 XXXXXX
    8 ...... 8 XXX... 8 ...XXX 8 XXXXXX 8 ...... 8 XXX... 8 ...XXX 8 XXXXXX
    7 ...XXX 7 ...XXX 7 ...XXX 7 ...XXX 7 XXXXXX 7 XXXXXX 7 XXXXXX 7 XXXXXX
    6 ...XXX 6 ...XXX 6 ...XXX 6 ...XXX 6 XXXXXX 6 XXXXXX 6 XXXXXX 6 XXXXXX
    5 ...XXX 5 ...XXX 5 ...XXX 5 ...XXX 5 XXXXXX 5 XXXXXX 5 XXXXXX 5 XXXXXX
    4 ...XXX 4 ...XXX 4 ...XXX 4 ...XXX 4 XXXXXX 4 XXXXXX 4 XXXXXX 4 XXXXXX
    3 ...XXX 3 ...XXX 3 ...XXX 3 ...XXX 3 ...XXX 3 ...XXX 3 ...XXX 3 ...XXX
    2 ...XXX 2 ...XXX 2 ...XXX 2 ...XXX 2 ...XXX 2 ...XXX 2 ...XXX 2 ...XXX
    1 ...XXX 1 ...XXX 1 ...XXX 1 ...XXX 1 ...XXX 1 ...XXX 1 ...XXX 1 ...XXX
    0 ...XXX 0 ...XXX 0 ...XXX 0 ...XXX 0 ...XXX 0 ...XXX 0 ...XXX 0 ...XXX
    
    10101000 10101001 10101010 10101011 10101100 10101101 10101110 10101111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B XXX... B ...XXX B XXXXXX B ...... B XXX... B ...XXX B XXXXXX
    A ...... A XXX... A ...XXX A XXXXXX A ...... A XXX... A ...XXX A XXXXXX
    9 ...... 9 XXX... 9 ...XXX 9 XXXXXX 9 ...... 9 XXX... 9 ...XXX 9 XXXXXX
    8 ...... 8 XXX... 8 ...XXX 8 XXXXXX 8 ...... 8 XXX... 8 ...XXX 8 XXXXXX
    7 ...... 7 ...... 7 ...... 7 ...... 7 XXX... 7 XXX... 7 XXX... 7 XXX...
    6 ...... 6 ...... 6 ...... 6 ...... 6 XXX... 6 XXX... 6 XXX... 6 XXX...
    5 ...... 5 ...... 5 ...... 5 ...... 5 XXX... 5 XXX... 5 XXX... 5 XXX...
    4 ...... 4 ...... 4 ...... 4 ...... 4 XXX... 4 XXX... 4 XXX... 4 XXX...
    3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX
    2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX
    1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX
    0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX
    
    10110000 10110001 10110010 10110011 10110100 10110101 10110110 10110111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B XXX... B ...XXX B XXXXXX B ...... B XXX... B ...XXX B XXXXXX
    A ...... A XXX... A ...XXX A XXXXXX A ...... A XXX... A ...XXX A XXXXXX
    9 ...... 9 XXX... 9 ...XXX 9 XXXXXX 9 ...... 9 XXX... 9 ...XXX 9 XXXXXX
    8 ...... 8 XXX... 8 ...XXX 8 XXXXXX 8 ...... 8 XXX... 8 ...XXX 8 XXXXXX
    7 ...XXX 7 ...XXX 7 ...XXX 7 ...XXX 7 XXXXXX 7 XXXXXX 7 XXXXXX 7 XXXXXX
    6 ...XXX 6 ...XXX 6 ...XXX 6 ...XXX 6 XXXXXX 6 XXXXXX 6 XXXXXX 6 XXXXXX
    5 ...XXX 5 ...XXX 5 ...XXX 5 ...XXX 5 XXXXXX 5 XXXXXX 5 XXXXXX 5 XXXXXX
    4 ...XXX 4 ...XXX 4 ...XXX 4 ...XXX 4 XXXXXX 4 XXXXXX 4 XXXXXX 4 XXXXXX
    3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX
    2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX
    1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX
    0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX
    
    10111000 10111001 10111010 10111011 10111100 10111101 10111110 10111111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B XXXXXX B ...... B XXXXXX B ...... B .X.X.. B ...... B ...... B XXXXXX
    A XXXXXX A ...X.. A XXXXXX A ..X.X. A ...X.. A .X.X.. A ...X.. A XXXXXX
    9 XXXXXX 9 ..X... 9 XXXXXX 9 ...X.. 9 ....X. 9 ..X... 9 ..X... 9 XXXXXX
    8 XXXXXX 8 ...... 8 XXXXXX 8 ...... 8 ....X. 8 ...... 8 ...... 8 XXXXXX
    7 XXXXXX 7 .XXX.. 7 XXXXXX 7 .XXXX. 7 .XXXX. 7 .XXX.. 7 .X.X.. 7 XXXXXX
    6 XXXXXX 6 ....X. 6 XXXXXX 6 X..... 6 X...X. 6 X...X. 6 .XX.X. 6 XXXXXX
    5 XXXXXX 5 .XXXX. 5 XXXXXX 5 X..... 5 X...X. 5 XXXXX. 5 .X.... 5 XXXXXX
    4 XXXXXX 4 X...X. 4 XXXXXX 4 X..... 4 X...X. 4 X..... 4 .X.... 4 XXXXXX
    3 XXXXXX 3 .XXXX. 3 XXXXXX 3 .XXXX. 3 .XXXX. 3 .XXX.. 3 .X.... 3 XXXXXX
    2 XXXXXX 2 ...... 2 XXXXXX 2 ...... 2 ...... 2 ...... 2 ...... 2 XXXXXX
    1 XXXXXX 1 ...... 1 XXXXXX 1 ...... 1 ...... 1 ...... 1 ...... 1 XXXXXX
    0 XXXXXX 0 ...... 0 XXXXXX 0 ...... 0 ...... 0 ...... 0 ...... 0 XXXXXX
    
    11000000 11000001 11000010 11000011 11000100 11000101 11000110 11000111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B ...... B ..X... B ....X. B ..X.X. B ...... B ...... B ......
    A ..X.X. A ...X.. A .X.X.. A ....X. A ...X.. A ..X.X. A .X.X.. A ...X..
    9 .X.X.. 9 ..X... 9 ..X... 9 .XX... 9 .XX... 9 .X.X.. 9 ..X... 9 ..X...
    8 ...... 8 ...... 8 ...... 8 ..X... 8 ..X... 8 ...... 8 ...... 8 ......
    7 X...X. 7 .XX... 7 X...X. 7 ..X... 7 ..X... 7 .XXX.. 7 X.XX.. 7 .XXX..
    6 X...X. 6 ..X... 6 X...X. 6 ..X... 6 ..X... 6 X...X. 6 XX..X. 6 X...X.
    5 X...X. 5 ..X... 5 X...X. 5 ..X... 5 ..X... 5 X...X. 5 X...X. 5 X...X.
    4 X...X. 4 ..X... 4 X...X. 4 ..X... 4 ..X... 4 X...X. 4 X...X. 4 X...X.
    3 .XXXX. 3 .XXX.. 3 .XXXX. 3 .XXX.. 3 .XXX.. 3 .XXX.. 3 X...X. 3 .XXX..
    2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ......
    1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ......
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ......
    
    11001000 11001001 11001010 11001011 11001100 11001101 11001110 11001111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B ...... B ...... B ...... B ..X.X. B ...... B XXXXXX B ......
    A ..X... A ..X.X. A .X.X.. A .X.X.. A ...X.. A ...X.. A XXXXXX A ...X..
    9 .X.X.. 9 .X.X.. 9 ..X... 9 ..X... 9 ...... 9 ..X... 9 XXXXXX 9 ..X...
    8 ...... 8 ...... 8 ...... 8 ...... 8 ..X... 8 ...... 8 XXXXXX 8 ......
    7 .XXX.. 7 .XXX.. 7 .X.X.. 7 .XXXX. 7 .XXX.. 7 X...X. 7 XXXXXX 7 .XXX..
    6 X...X. 6 ....X. 6 .XX.X. 6 X..... 6 ..X... 6 X...X. 6 XXXXXX 6 X...X.
    5 X...X. 5 .XXXX. 5 .X.... 5 .XXX.. 5 ..X... 5 X...X. 5 XXXXXX 5 XXXXX.
    4 X...X. 4 X...X. 4 .X.... 4 ....X. 4 ..X... 4 X...X. 4 XXXXXX 4 X.....
    3 .XXX.. 3 .XXXX. 3 .X.... 3 XXXX.. 3 ...X.. 3 .XXXX. 3 XXXXXX 3 .XXX..
    2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 XXXXXX 2 ......
    1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 XXXXXX 1 ......
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 XXXXXX 0 ......
    
    11010000 11010001 11010010 11010011 11010100 11010101 11010110 11010111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B ...... B ...... B XXXXXX B .X.X.. B XXXXXX B ...... B XXXXXX
    A .X.... A ...X.. A .X.X.. A XXXXXX A ..X... A XXXXXX A ...... A XXXXXX
    9 ..X... 9 ..X... 9 ..X... 9 XXXXXX 9 ...... 9 XXXXXX 9 ...... 9 XXXXXX
    8 ...... 8 ...... 8 ...... 8 XXXXXX 8 ...... 8 XXXXXX 8 ...... 8 XXXXXX
    7 .XXX.. 7 X...X. 7 XXXXX. 7 XXXXXX 7 ...... 7 XXXXXX 7 ...... 7 XXXXXX
    6 ....X. 6 X...X. 6 ...X.. 6 XXXXXX 6 ...... 6 XXXXXX 6 XXXXX. 6 XXXXXX
    5 .XXXX. 5 X...X. 5 ..X... 5 XXXXXX 5 ...... 5 XXXXXX 5 ....X. 5 XXXXXX
    4 X...X. 4 X...X. 4 .X.... 4 XXXXXX 4 ...... 4 XXXXXX 4 ....X. 4 XXXXXX
    3 .XXXX. 3 .XXXX. 3 XXXXX. 3 XXXXXX 3 ...... 3 XXXXXX 3 ...... 3 XXXXXX
    2 ...... 2 ....X. 2 ...... 2 XXXXXX 2 ...... 2 XXXXXX 2 ...... 2 XXXXXX
    1 ...... 1 ..XX.. 1 ...... 1 XXXXXX 1 ...... 1 XXXXXX 1 ...... 1 XXXXXX
    0 ...... 0 ...... 0 ...... 0 XXXXXX 0 ...... 0 XXXXXX 0 ...... 0 XXXXXX
    
    11011000 11011001 11011010 11011011 11011100 11011101 11011110 11011111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ....X. B ....X. B XXXXXX B .X.X.. B .X.X.. B .X.X.. B ...X.. B XXXXXX
    A ...X.. A ...X.. A XXXXXX A ..X... A ..X... A ..X... A ..X... A XXXXXX
    9 ...... 9 ..X... 9 XXXXXX 9 .XXX.. 9 XXXX.. 9 XXXXX. 9 XXXX.. 9 XXXXXX
    8 ...... 8 .X.X.. 8 XXXXXX 8 X...X. 8 X...X. 8 X..... 8 X...X. 8 XXXXXX
    7 ...... 7 X...X. 7 XXXXXX 7 X..... 7 X...X. 7 X..... 7 X...X. 7 XXXXXX
    6 ...... 6 X...X. 6 XXXXXX 6 X..... 6 X...X. 6 XXXX.. 6 XXXX.. 6 XXXXXX
    5 ...... 5 XXXXX. 5 XXXXXX 5 X..... 5 X...X. 5 X..... 5 X.X... 5 XXXXXX
    4 ...... 4 X...X. 4 XXXXXX 4 X...X. 4 X...X. 4 X..... 4 X..X.. 4 XXXXXX
    3 ...... 3 X...X. 3 XXXXXX 3 .XXX.. 3 XXXX.. 3 XXXXX. 3 X...X. 3 XXXXXX
    2 ...... 2 ...... 2 XXXXXX 2 ...... 2 ...... 2 ...... 2 ...... 2 XXXXXX
    1 ...... 1 ...... 1 XXXXXX 1 ...... 1 ...... 1 ...... 1 ...... 1 XXXXXX
    0 ...... 0 ...... 0 XXXXXX 0 ...... 0 ...... 0 ...... 0 ...... 0 XXXXXX
    
    11100000 11100001 11100010 11100011 11100100 11100101 11100110 11100111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ..X.X. B ...X.. B .XX... B ...X.. B .X.X.. B ..X.X. B .X.X.. B ...X..
    A .X.X.. A ..X... A .XX... A ..X... A ..X... A .X.X.. A ..X... A ..X...
    9 X...X. 9 .XXX.. 9 X...X. 9 X..... 9 X..... 9 .XXX.. 9 X...X. 9 .XXX..
    8 X...X. 8 ..X... 8 X...X. 8 X..... 8 X..... 8 X...X. 8 X...X. 8 X...X.
    7 X...X. 7 ..X... 7 X...X. 7 X..... 7 X..... 7 X...X. 7 XX..X. 7 X...X.
    6 X...X. 6 ..X... 6 X...X. 6 X..... 6 X..... 6 X...X. 6 X.X.X. 6 X...X.
    5 X...X. 5 ..X... 5 X...X. 5 X..... 5 X..... 5 X...X. 5 X..XX. 5 X...X.
    4 X...X. 4 ..X... 4 X...X. 4 X..... 4 X..... 4 X...X. 4 X...X. 4 X...X.
    3 .XXX.. 3 .XXX.. 3 .XXX.. 3 XXXXX. 3 XXXXX. 3 .XXX.. 3 X...X. 3 .XXX..
    2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ......
    1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ......
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ......
    
    11101000 11101001 11101010 11101011 11101100 11101101 11101110 11101111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B .XXX.. B ..X.X. B .X.X.. B .X.X.. B .X.X.. B ...X.. B XXXXXX B ...X..
    A X...X. A .X.X.. A ..X... A ..X... A ..X... A ..X... A XXXXXX A ..X...
    9 .XXX.. 9 ..X... 9 XXXX.. 9 .XXX.. 9 XXXXX. 9 X...X. 9 XXXXXX 9 XXXXX.
    8 X...X. 8 .X.X.. 8 X...X. 8 X...X. 8 X.X.X. 8 X...X. 8 XXXXXX 8 X.....
    7 X...X. 7 X...X. 7 X...X. 7 X..... 7 ..X... 7 X...X. 7 XXXXXX 7 X.....
    6 X...X. 6 X...X. 6 XXXX.. 6 .XXX.. 6 ..X... 6 X...X. 6 XXXXXX 6 XXXX..
    5 X...X. 5 XXXXX. 5 X.X... 5 ....X. 5 ..X... 5 X...X. 5 XXXXXX 5 X.....
    4 X...X. 4 X...X. 4 X..X.. 4 X...X. 4 ..X... 4 X...X. 4 XXXXXX 4 X.....
    3 .XXX.. 3 X...X. 3 X...X. 3 .XXX.. 3 ..X... 3 .XXX.. 3 XXXXXX 3 XXXXX.
    2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 XXXXXX 2 ......
    1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 XXXXXX 1 ......
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 XXXXXX 0 ......
    
    11110000 11110001 11110010 11110011 11110100 11110101 11110110 11110111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B X..... B ...X.. B .X.X.. B XXXXXX B XXXXXX B XXXXXX B XXXXXX B XXXXXX
    A .X.... A ..X... A ..X... A XXXXXX A XXXXXX A XXXXXX A XXXXXX A XXXXXX
    9 ..X... 9 X...X. 9 XXXXX. 9 XXXXXX 9 XXXXXX 9 XXXXXX 9 XXXXXX 9 XXXXXX
    8 .X.X.. 8 X...X. 8 ....X. 8 XXXXXX 8 XXXXXX 8 XXXXXX 8 XXXXXX 8 XXXXXX
    7 X...X. 7 .X.X.. 7 ...X.. 7 XXXXXX 7 XXXXXX 7 XXXXXX 7 XXXXXX 7 XXXXXX
    6 X...X. 6 ..X... 6 ..X... 6 XXXXXX 6 XXXXXX 6 XXXXXX 6 XXXXXX 6 XXXXXX
    5 XXXXX. 5 ..X... 5 .X.... 5 XXXXXX 5 XXXXXX 5 XXXXXX 5 XXXXXX 5 XXXXXX
    4 X...X. 4 ..X... 4 X..... 4 XXXXXX 4 XXXXXX 4 XXXXXX 4 XXXXXX 4 XXXXXX
    3 X...X. 3 ..X... 3 XXXXX. 3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX
    2 ...... 2 ...... 2 ...... 2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX
    1 ...... 1 ...... 1 ...... 1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX
    0 ...... 0 ...... 0 ...... 0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX
    
    11111000 11111001 11111010 11111011 11111100 11111101 11111110 11111111
    


    Grafické znázornění znaků, upravené znaky 10H - 1FH

      765432   765432   765432   765432   765432   765432   765432   765432
    B ..XX.. B ...... B ...... B ..XX.. B ..XX.. B ...... B ...... B ..XX..
    A ..XX.. A ...... A ...... A ..XX.. A ..XX.. A ...... A ...... A ..XX..
    9 ..XX.. 9 ...... 9 ...... 9 ..XX.. 9 ..XX.. 9 ...... 9 ...... 9 ..XX..
    8 ..XX.. 8 ...... 8 ...... 8 ..XX.. 8 ..XX.. 8 ...... 8 ...... 8 ..XX..
    7 ..XXX. 7 ...... 7 ...... 7 .XXX.. 7 ..XX.. 7 ...... 7 ...... 7 ..XX..
    6 ...XXX 6 ....XX 6 XX.... 6 XXX... 6 ..XXXX 6 ..XXXX 6 XXXX.. 6 XXXX..
    5 ....XX 5 ...XXX 5 XXX... 5 XX.... 5 ..XXXX 5 ..XXXX 5 XXXX.. 5 XXXX..
    4 ...... 4 ..XXX. 4 .XXX.. 4 ...... 4 ...... 4 ..XX.. 4 ..XX.. 4 ......
    3 ...... 3 ..XX.. 3 ..XX.. 3 ...... 3 ...... 3 ..XX.. 3 ..XX.. 3 ......
    2 ...... 2 ..XX.. 2 ..XX.. 2 ...... 2 ...... 2 ..XX.. 2 ..XX.. 2 ......
    1 ...... 1 ..XX.. 1 ..XX.. 1 ...... 1 ...... 1 ..XX.. 1 ..XX.. 1 ......
    0 ...... 0 ..XX.. 0 ..XX.. 0 ...... 0 ...... 0 ..XX.. 0 ..XX.. 0 ......
    
    00000000 00000001 00000010 00000011 00000100 00000101 00000110 00000111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ..XX.. B ..XX.. B ...... B ..XX.. B ..XX.. B ...... B ..XX.. B XXXXXX
    A ..XX.. A ..XX.. A ...... A ..XX.. A ..XX.. A ...... A ..XX.. A XXXXXX
    9 ..XX.. 9 ..XX.. 9 ...... 9 ..XX.. 9 ..XX.. 9 ...... 9 ..XX.. 9 XXXXXX
    8 ..XX.. 8 ..XX.. 8 ...... 8 ..XX.. 8 ..XX.. 8 ...... 8 ..XX.. 8 XXXXXX
    7 ..XX.. 7 ..XX.. 7 ...... 7 ..XX.. 7 ..XX.. 7 ...... 7 ..XX.. 7 XXXXXX
    6 XXXXXX 6 ..XXXX 6 XXXXXX 6 XXXX.. 6 ..XX.. 6 XXXXXX 6 XXXXXX 6 XXXXXX
    5 XXXXXX 5 ..XXXX 5 XXXXXX 5 XXXX.. 5 ..XX.. 5 XXXXXX 5 XXXXXX 5 XXXXXX
    4 ...... 4 ..XX.. 4 ..XX.. 4 ..XX.. 4 ..XX.. 4 ...... 4 ..XX.. 4 XXXXXX
    3 ...... 3 ..XX.. 3 ..XX.. 3 ..XX.. 3 ..XX.. 3 ...... 3 ..XX.. 3 XXXXXX
    2 ...... 2 ..XX.. 2 ..XX.. 2 ..XX.. 2 ..XX.. 2 ...... 2 ..XX.. 2 XXXXXX
    1 ...... 1 ..XX.. 1 ..XX.. 1 ..XX.. 1 ..XX.. 1 ...... 1 ..XX.. 1 XXXXXX
    0 ...... 0 ..XX.. 0 ..XX.. 0 ..XX.. 0 ..XX.. 0 ...... 0 ..XX.. 0 XXXXXX
    
    00001000 00001001 00001010 00001011 00001100 00001101 00001110 00001111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B .....X B X..... B X....X B XXXXXX B ...... B XX.... B ....XX B ..XX..
    A ....XX A XX.... A XX..XX A XXXXXX A ...... A XX.... A ....XX A ..XX..
    9 ....XX 9 XX.... 9 XX..XX 9 ...... 9 ...... 9 XX.... 9 ....XX 9 .XXXX.
    8 ...XX. 8 .XX... 8 .XXXX. 8 ...... 8 ...... 8 XX.... 8 ....XX 8 .XXXX.
    7 ...XX. 7 .XX... 7 .XXXX. 7 ...... 7 ...... 7 XX.... 7 ....XX 7 XX..XX
    6 ..XX.. 6 ..XX.. 6 ..XX.. 6 ...... 6 ...... 6 XX.... 6 ....XX 6 XX..XX
    5 ..XX.. 5 ..XX.. 5 ..XX.. 5 ...... 5 ...... 5 XX.... 5 ....XX 5 XX..XX
    4 .XX... 4 ...XX. 4 .XXXX. 4 ...... 4 ...... 4 XX.... 4 ....XX 4 XX..XX
    3 .XX... 3 ...XX. 3 .XXXX. 3 ...... 3 ...... 3 XX.... 3 ....XX 3 XX..XX
    2 XX.... 2 ....XX 2 XX..XX 2 ...... 2 ...... 2 XX.... 2 ....XX 2 XX..XX
    1 XX.... 1 ....XX 1 XX..XX 1 ...... 1 XXXXXX 1 XX.... 1 ....XX 1 XX..XX
    0 X..... 0 .....X 0 X....X 0 ...... 0 XXXXXX 0 XX.... 0 ....XX 0 XX..XX
    
    00010000 00010001 00010010 00010011 00010100 00010101 00010110 00010111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B XX..XX B X..... B .....X B X....X B ...... B XXXXXX B ...... B XXX...
    A XX..XX A XX.... A ....XX A XX..XX A ...... A XXXXXX A ...... A XXX...
    9 XX..XX 9 .XX... 9 ...XX. 9 .XXXX. 9 ...... 9 XXXXXX 9 ...... 9 XXX...
    8 XX..XX 8 ..XX.. 8 ..XX.. 8 ..XX.. 8 ...... 8 XXXXXX 8 ...... 8 XXX...
    7 XX..XX 7 ...XX. 7 .XX... 7 .XXXX. 7 XXXXXX 7 XXXXXX 7 XXXXXX 7 ...XXX
    6 XX..XX 6 ....XX 6 XX.... 6 XX..XX 6 XXXXXX 6 XXXXXX 6 XXXXXX 6 ...XXX
    5 XX..XX 5 ....XX 5 XX.... 5 XX..XX 5 XXXXXX 5 XXXXXX 5 XXXXXX 5 ...XXX
    4 XX..XX 4 ...XX. 4 .XX... 4 .XXXX. 4 XXXXXX 4 XXXXXX 4 XXXXXX 4 ...XXX
    3 .XXXX. 3 ..XX.. 3 ..XX.. 3 ..XX.. 3 ...... 3 ...... 3 XXXXXX 3 XXX...
    2 .XXXX. 2 .XX... 2 ...XX. 2 .XXXX. 2 ...... 2 ...... 2 XXXXXX 2 XXX...
    1 ..XX.. 1 XX.... 1 ....XX 1 XX..XX 1 ...... 1 ...... 1 XXXXXX 1 XXX...
    0 ..XX.. 0 X..... 0 .....X 0 X....X 0 ...... 0 ...... 0 XXXXXX 0 XXX...
    
    00011000 00011001 00011010 00011011 00011100 00011101 00011110 00011111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B ...... B ...... B ...... B ...... B ...... B ...... B ......
    A ...... A ...... A .X.X.. A ...... A ...... A ...... A ...... A ..X...
    9 ...... 9 ..X... 9 .X.X.. 9 .X.X.. 9 ..X... 9 XX.... 9 .X.... 9 ..X...
    8 ...... 8 ..X... 8 .X.X.. 8 .X.X.. 8 .XXXX. 8 XX..X. 8 X.X... 8 ..X...
    7 ...... 7 ..X... 7 X.X... 7 XXXXX. 7 X.X... 7 ...X.. 7 X.X... 7 .X....
    6 ...... 6 ..X... 6 ...... 6 .X.X.. 6 .XXX.. 6 ..X... 6 .X.... 6 ......
    5 ...... 5 ..X... 5 ...... 5 XXXXX. 5 ..X.X. 5 .X.... 5 X.X.X. 5 ......
    4 ...... 4 ...... 4 ...... 4 .X.X.. 4 XXXX.. 4 X..XX. 4 X..X.. 4 ......
    3 ...... 3 ..X... 3 ...... 3 .X.X.. 3 ..X... 3 ...XX. 3 .XX.X. 3 ......
    2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ......
    1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ......
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ......
    
    00100000 00100001 00100010 00100011 00100100 00100101 00100110 00100111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B ...... B ...... B ...... B ...... B ...... B ...... B ......
    A ...... A ...... A ...... A ...... A ...... A ...... A ...... A ......
    9 ..X... 9 ..X... 9 ...... 9 ...... 9 ...... 9 ...... 9 ...... 9 ......
    8 .X.... 8 ...X.. 8 ..X... 8 ..X... 8 ...... 8 ...... 8 ...... 8 ....X.
    7 X..... 7 ....X. 7 X.X.X. 7 ..X... 7 ...... 7 ...... 7 ...... 7 ...X..
    6 X..... 6 ....X. 6 .XXX.. 6 XXXXX. 6 ...... 6 XXXXX. 6 ...... 6 ..X...
    5 X..... 5 ....X. 5 X.X.X. 5 ..X... 5 ...... 5 ...... 5 ...... 5 .X....
    4 .X.... 4 ...X.. 4 ..X... 4 ..X... 4 ...... 4 ...... 4 ...... 4 X.....
    3 ..X... 3 ..X... 3 ...... 3 ...... 3 ..X... 3 ...... 3 ..X... 3 ......
    2 ...... 2 ...... 2 ...... 2 ...... 2 ..X... 2 ...... 2 ...... 2 ......
    1 ...... 1 ...... 1 ...... 1 ...... 1 .X.... 1 ...... 1 ...... 1 ......
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ......
    
    00101000 00101001 00101010 00101011 00101100 00101101 00101110 00101111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B ...... B ...... B ...... B ...... B ...... B ...... B ......
    A ...... A ...... A ...... A ...... A ...... A ...... A ...... A ......
    9 .XXX.. 9 ..X... 9 .XXX.. 9 XXXXX. 9 ...X.. 9 XXXXX. 9 ..XXX. 9 XXXXX.
    8 X...X. 8 .XX... 8 X...X. 8 ....X. 8 ..XX.. 8 X..... 8 .X.... 8 ....X.
    7 X..XX. 7 ..X... 7 ....X. 7 ...X.. 7 .X.X.. 7 XXXX.. 7 X..... 7 ....X.
    6 X.X.X. 6 ..X... 6 .XXX.. 6 ..XX.. 6 XXXXX. 6 ....X. 6 XXXX.. 6 ...X..
    5 XX..X. 5 ..X... 5 X..... 5 ....X. 5 ...X.. 5 ....X. 5 X...X. 5 ..X...
    4 X...X. 4 ..X... 4 X..... 4 X...X. 4 ...X.. 4 X...X. 4 X...X. 4 .X....
    3 .XXX.. 3 .XXX.. 3 XXXXX. 3 .XXX.. 3 ...X.. 3 .XXX.. 3 .XXX.. 3 X.....
    2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ......
    1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ......
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ......
    
    00110000 00110001 00110010 00110011 00110100 00110101 00110110 00110111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B ...... B ...... B ...... B ...... B ...... B ...... B ......
    A ...... A ...... A ...... A ...... A ...... A ...... A ...... A ......
    9 .XXX.. 9 .XXX.. 9 ...... 9 ...... 9 ...X.. 9 ...... 9 .X.... 9 .XXX..
    8 X...X. 8 X...X. 8 ...... 8 ...... 8 ..X... 8 ...... 8 ..X... 8 X...X.
    7 X...X. 7 X...X. 7 ..X... 7 ...... 7 .X.... 7 XXXXX. 7 ...X.. 7 ....X.
    6 .XXX.. 6 .XXXX. 6 ...... 6 ...... 6 X..... 6 ...... 6 ....X. 6 ...X..
    5 X...X. 5 ....X. 5 ..X... 5 ..X... 5 .X.... 5 XXXXX. 5 ...X.. 5 ..X...
    4 X...X. 4 ...X.. 4 ...... 4 ...... 4 ..X... 4 ...... 4 ..X... 4 ......
    3 .XXX.. 3 XXX... 3 ...... 3 ..X... 3 ...X.. 3 ...... 3 .X.... 3 ..X...
    2 ...... 2 ...... 2 ...... 2 ..X... 2 ...... 2 ...... 2 ...... 2 ......
    1 ...... 1 ...... 1 ...... 1 .X.... 1 ...... 1 ...... 1 ...... 1 ......
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ......
    
    00111000 00111001 00111010 00111011 00111100 00111101 00111110 00111111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B ...... B ...... B ...... B ...... B ...... B ...... B ......
    A ...... A ...... A ...... A ...... A ...... A ...... A ...... A ......
    9 .XXX.. 9 ..X... 9 XXXX.. 9 .XXX.. 9 XXXX.. 9 XXXXX. 9 XXXXX. 9 .XXXX.
    8 X...X. 8 .X.X.. 8 X...X. 8 X...X. 8 X...X. 8 X..... 8 X..... 8 X...X.
    7 X.X.X. 7 X...X. 7 X...X. 7 X..... 7 X...X. 7 X..... 7 X..... 7 X.....
    6 X.XXX. 6 XXXXX. 6 XXXX.. 6 X..... 6 X...X. 6 XXXX.. 6 XXXX.. 6 X.....
    5 X.XX.. 5 X...X. 5 X...X. 5 X..... 5 X...X. 5 X..... 5 X..... 5 X..XX.
    4 X..... 4 X...X. 4 X...X. 4 X...X. 4 X...X. 4 X..... 4 X..... 4 X...X.
    3 .XXXX. 3 X...X. 3 XXXX.. 3 .XXX.. 3 XXXX.. 3 XXXXX. 3 X..... 3 .XXXX.
    2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ......
    1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ......
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ......
    
    01000000 01000001 01000010 01000011 01000100 01000101 01000110 01000111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B ...... B ...... B ...... B ...... B ...... B ...... B ......
    A ...... A ...... A ...... A ...... A ...... A ...... A ...... A ......
    9 X...X. 9 .XXX.. 9 ....X. 9 X...X. 9 X..... 9 X...X. 9 X...X. 9 .XXX..
    8 X...X. 8 ..X... 8 ....X. 8 X..X.. 8 X..... 8 XX.XX. 8 X...X. 8 X...X.
    7 X...X. 7 ..X... 7 ....X. 7 X.X... 7 X..... 7 X.X.X. 7 XX..X. 7 X...X.
    6 XXXXX. 6 ..X... 6 ....X. 6 XX.... 6 X..... 6 X.X.X. 6 X.X.X. 6 X...X.
    5 X...X. 5 ..X... 5 ....X. 5 X.X... 5 X..... 5 X.X.X. 5 X..XX. 5 X...X.
    4 X...X. 4 ..X... 4 X...X. 4 X..X.. 4 X..... 4 X...X. 4 X...X. 4 X...X.
    3 X...X. 3 .XXX.. 3 .XXX.. 3 X...X. 3 XXXXX. 3 X...X. 3 X...X. 3 .XXX..
    2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ......
    1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ......
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ......
    
    01001000 01001001 01001010 01001011 01001100 01001101 01001110 01001111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B ...... B ...... B ...... B ...... B ...... B ...... B ......
    A ...... A ...... A ...... A ...... A ...... A ...... A ...... A ......
    9 XXXX.. 9 .XXX.. 9 XXXX.. 9 .XXX.. 9 XXXXX. 9 X...X. 9 X...X. 9 X...X.
    8 X...X. 8 X...X. 8 X...X. 8 X...X. 8 X.X.X. 8 X...X. 8 X...X. 8 X...X.
    7 X...X. 7 X...X. 7 X...X. 7 X..... 7 ..X... 7 X...X. 7 X...X. 7 X...X.
    6 XXXX.. 6 X...X. 6 XXXX.. 6 .XXX.. 6 ..X... 6 X...X. 6 .X.X.. 6 X.X.X.
    5 X..... 5 X.X.X. 5 X.X... 5 ....X. 5 ..X... 5 X...X. 5 .X.X.. 5 X.X.X.
    4 X..... 4 X..X.. 4 X..X.. 4 X...X. 4 ..X... 4 X...X. 4 ..X... 4 X.X.X.
    3 X..... 3 .XX.X. 3 X...X. 3 .XXX.. 3 ..X... 3 .XXX.. 3 ..X... 3 .X.X..
    2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ......
    1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ......
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ......
    
    01010000 01010001 01010010 01010011 01010100 01010101 01010110 01010111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B ...... B ...... B ...... B ...... B ...... B ...... B ......
    A ...... A ...... A ...... A ...... A ...... A ...... A ...... A ......
    9 X...X. 9 X...X. 9 XXXXX. 9 XXXXX. 9 ...... 9 XXXXX. 9 ...... 9 ......
    8 X...X. 8 X...X. 8 ....X. 8 XX.... 8 X..... 8 ...XX. 8 ..X... 8 ......
    7 .X.X.. 7 .X.X.. 7 ...X.. 7 XX.... 7 .X.... 7 ...XX. 7 .XXX.. 7 ......
    6 ..X... 6 ..X... 6 ..X... 6 XX.... 6 ..X... 6 ...XX. 6 X.X.X. 6 ......
    5 .X.X.. 5 ..X... 5 .X.... 5 XX.... 5 ...X.. 5 ...XX. 5 ..X... 5 ......
    4 X...X. 4 ..X... 4 X..... 4 XX.... 4 ....X. 4 ...XX. 4 ..X... 4 ......
    3 X...X. 3 ..X... 3 XXXXX. 3 XXXXX. 3 ...... 3 XXXXX. 3 ..X... 3 XXXXX.
    2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ......
    1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ......
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ......
    
    01011000 01011001 01011010 01011011 01011100 01011101 01011110 01011111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B ...... B ...... B ...... B ...... B ...... B ...... B ......
    A ...... A ...... A ...... A ...... A ...... A ...... A ...... A ......
    9 .X.... 9 ...... 9 X..... 9 ...... 9 ....X. 9 ...... 9 ...X.. 9 ......
    8 ..X... 8 ...... 8 X..... 8 ...... 8 ....X. 8 ...... 8 ..X... 8 ......
    7 ...X.. 7 .XXX.. 7 XXXX.. 7 .XXX.. 7 .XXXX. 7 .XXX.. 7 ..X... 7 .XXXX.
    6 ...... 6 ....X. 6 X...X. 6 X..... 6 X...X. 6 X...X. 6 .XXX.. 6 X...X.
    5 ...... 5 .XXXX. 5 X...X. 5 X..... 5 X...X. 5 XXXXX. 5 ..X... 5 X...X.
    4 ...... 4 X...X. 4 X...X. 4 X..... 4 X...X. 4 X..... 4 ..X... 4 X...X.
    3 ...... 3 .XXXX. 3 XXXX.. 3 .XXX.. 3 .XXXX. 3 .XXX.. 3 ..X... 3 .XXXX.
    2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ....X.
    1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ..XX..
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ......
    
    01100000 01100001 01100010 01100011 01100100 01100101 01100110 01100111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B ...... B ...... B ...... B ...... B ...... B ...... B ......
    A ...... A ...... A ...... A ...... A ...... A ...... A ...... A ......
    9 X..... 9 ..X... 9 ...X.. 9 .X.... 9 .XX... 9 ...... 9 ...... 9 ......
    8 X..... 8 ...... 8 ...... 8 .X.... 8 ..X... 8 ...... 8 ...... 8 ......
    7 XXXX.. 7 .XX... 7 ..XX.. 7 .X..X. 7 ..X... 7 XX.X.. 7 X.XX.. 7 .XXX..
    6 X...X. 6 ..X... 6 ...X.. 6 .X.X.. 6 ..X... 6 X.X.X. 6 XX..X. 6 X...X.
    5 X...X. 5 ..X... 5 ...X.. 5 .XX... 5 ..X... 5 X.X.X. 5 X...X. 5 X...X.
    4 X...X. 4 ..X... 4 ...X.. 4 .X.X.. 4 ..X... 4 X.X.X. 4 X...X. 4 X...X.
    3 X...X. 3 .XXX.. 3 ...X.. 3 .X..X. 3 .XXX.. 3 X.X.X. 3 X...X. 3 .XXX..
    2 ...... 2 ...... 2 ...X.. 2 ...... 2 ...... 2 ...... 2 ...... 2 ......
    1 ...... 1 ...... 1 .XX... 1 ...... 1 ...... 1 ...... 1 ...... 1 ......
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ......
    
    01101000 01101001 01101010 01101011 01101100 01101101 01101110 01101111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B ...... B ...... B ...... B ...... B ...... B ...... B ......
    A ...... A ...... A ...... A ...... A ...... A ...... A ...... A ......
    9 ...... 9 ...... 9 ...... 9 ...... 9 ...... 9 ...... 9 ...... 9 ......
    8 ...... 8 ...... 8 ...... 8 ...... 8 ..X... 8 ...... 8 ...... 8 ......
    7 XXXX.. 7 .XXXX. 7 .X.XX. 7 .XXXX. 7 .XXX.. 7 X...X. 7 X...X. 7 X...X.
    6 X...X. 6 X...X. 6 .XX... 6 X..... 6 ..X... 6 X...X. 6 X...X. 6 X...X.
    5 X...X. 5 X...X. 5 .X.... 5 .XXX.. 5 ..X... 5 X...X. 5 X...X. 5 X.X.X.
    4 X...X. 4 X...X. 4 .X.... 4 ....X. 4 ..X... 4 X...X. 4 .X.X.. 4 X.X.X.
    3 XXXX.. 3 .XXXX. 3 .X.... 3 XXXX.. 3 ...X.. 3 .XXXX. 3 ..X... 3 .X.X..
    2 X..... 2 ....X. 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ......
    1 X..... 1 ....X. 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ......
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ......
    
    01110000 01110001 01110010 01110011 01110100 01110101 01110110 01110111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B ...... B ...... B ...... B ...... B ...... B ...... B .X.X.X
    A ...... A ...... A ...... A ...... A ...... A ...... A ...... A X.X.X.
    9 ...... 9 ...... 9 ...... 9 ...X.. 9 ..X... 9 .X.... 9 .X.... 9 .X.X.X
    8 ...... 8 ...... 8 ...... 8 ..X... 8 ..X... 8 ..X... 8 X.X.X. 8 X.X.X.
    7 X...X. 7 X...X. 7 XXXXX. 7 ..X... 7 ..X... 7 ..X... 7 ...X.. 7 .X.X.X
    6 .X.X.. 6 X...X. 6 ...X.. 6 .X.... 6 ..X... 6 ...X.. 6 ...... 6 X.X.X.
    5 ..X... 5 X...X. 5 ..X... 5 ..X... 5 ..X... 5 ..X... 5 ...... 5 .X.X.X
    4 .X.X.. 4 X...X. 4 .X.... 4 ..X... 4 ..X... 4 ..X... 4 ...... 4 X.X.X.
    3 X...X. 3 .XXXX. 3 XXXXX. 3 ...X.. 3 ..X... 3 .X.... 3 ...... 3 .X.X.X
    2 ...... 2 ....X. 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 X.X.X.
    1 ...... 1 ..XX.. 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 .X.X.X
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 X.X.X.
    
    01111000 01111001 01111010 01111011 01111100 01111101 01111110 01111111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B XXX... B ...XXX B XXXXXX B ...... B XXX... B ...XXX B XXXXXX
    A ...... A XXX... A ...XXX A XXXXXX A ...... A XXX... A ...XXX A XXXXXX
    9 ...... 9 XXX... 9 ...XXX 9 XXXXXX 9 ...... 9 XXX... 9 ...XXX 9 XXXXXX
    8 ...... 8 XXX... 8 ...XXX 8 XXXXXX 8 ...... 8 XXX... 8 ...XXX 8 XXXXXX
    7 ...... 7 ...... 7 ...... 7 ...... 7 XXX... 7 XXX... 7 XXX... 7 XXX...
    6 ...... 6 ...... 6 ...... 6 ...... 6 XXX... 6 XXX... 6 XXX... 6 XXX...
    5 ...... 5 ...... 5 ...... 5 ...... 5 XXX... 5 XXX... 5 XXX... 5 XXX...
    4 ...... 4 ...... 4 ...... 4 ...... 4 XXX... 4 XXX... 4 XXX... 4 XXX...
    3 ...... 3 ...... 3 ...... 3 ...... 3 ...... 3 ...... 3 ...... 3 ......
    2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ......
    1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ......
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ......
    
    10000000 10000001 10000010 10000011 10000100 10000101 10000110 10000111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B XXX... B ...XXX B XXXXXX B ...... B XXX... B ...XXX B XXXXXX
    A ...... A XXX... A ...XXX A XXXXXX A ...... A XXX... A ...XXX A XXXXXX
    9 ...... 9 XXX... 9 ...XXX 9 XXXXXX 9 ...... 9 XXX... 9 ...XXX 9 XXXXXX
    8 ...... 8 XXX... 8 ...XXX 8 XXXXXX 8 ...... 8 XXX... 8 ...XXX 8 XXXXXX
    7 ...XXX 7 ...XXX 7 ...XXX 7 ...XXX 7 XXXXXX 7 XXXXXX 7 XXXXXX 7 XXXXXX
    6 ...XXX 6 ...XXX 6 ...XXX 6 ...XXX 6 XXXXXX 6 XXXXXX 6 XXXXXX 6 XXXXXX
    5 ...XXX 5 ...XXX 5 ...XXX 5 ...XXX 5 XXXXXX 5 XXXXXX 5 XXXXXX 5 XXXXXX
    4 ...XXX 4 ...XXX 4 ...XXX 4 ...XXX 4 XXXXXX 4 XXXXXX 4 XXXXXX 4 XXXXXX
    3 ...... 3 ...... 3 ...... 3 ...... 3 ...... 3 ...... 3 ...... 3 ......
    2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ......
    1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ......
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ......
    
    10001000 10001001 10001010 10001011 10001100 10001101 10001110 10001111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B XXX... B ...XXX B XXXXXX B ...... B XXX... B ...XXX B XXXXXX
    A ...... A XXX... A ...XXX A XXXXXX A ...... A XXX... A ...XXX A XXXXXX
    9 ...... 9 XXX... 9 ...XXX 9 XXXXXX 9 ...... 9 XXX... 9 ...XXX 9 XXXXXX
    8 ...... 8 XXX... 8 ...XXX 8 XXXXXX 8 ...... 8 XXX... 8 ...XXX 8 XXXXXX
    7 ...... 7 ...... 7 ...... 7 ...... 7 XXX... 7 XXX... 7 XXX... 7 XXX...
    6 ...... 6 ...... 6 ...... 6 ...... 6 XXX... 6 XXX... 6 XXX... 6 XXX...
    5 ...... 5 ...... 5 ...... 5 ...... 5 XXX... 5 XXX... 5 XXX... 5 XXX...
    4 ...... 4 ...... 4 ...... 4 ...... 4 XXX... 4 XXX... 4 XXX... 4 XXX...
    3 XXX... 3 XXX... 3 XXX... 3 XXX... 3 XXX... 3 XXX... 3 XXX... 3 XXX...
    2 XXX... 2 XXX... 2 XXX... 2 XXX... 2 XXX... 2 XXX... 2 XXX... 2 XXX...
    1 XXX... 1 XXX... 1 XXX... 1 XXX... 1 XXX... 1 XXX... 1 XXX... 1 XXX...
    0 XXX... 0 XXX... 0 XXX... 0 XXX... 0 XXX... 0 XXX... 0 XXX... 0 XXX...
    
    10010000 10010001 10010010 10010011 10010100 10010101 10010110 10010111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B XXX... B ...XXX B XXXXXX B ...... B XXX... B ...XXX B XXXXXX
    A ...... A XXX... A ...XXX A XXXXXX A ...... A XXX... A ...XXX A XXXXXX
    9 ...... 9 XXX... 9 ...XXX 9 XXXXXX 9 ...... 9 XXX... 9 ...XXX 9 XXXXXX
    8 ...... 8 XXX... 8 ...XXX 8 XXXXXX 8 ...... 8 XXX... 8 ...XXX 8 XXXXXX
    7 ...XXX 7 ...XXX 7 ...XXX 7 ...XXX 7 XXXXXX 7 XXXXXX 7 XXXXXX 7 XXXXXX
    6 ...XXX 6 ...XXX 6 ...XXX 6 ...XXX 6 XXXXXX 6 XXXXXX 6 XXXXXX 6 XXXXXX
    5 ...XXX 5 ...XXX 5 ...XXX 5 ...XXX 5 XXXXXX 5 XXXXXX 5 XXXXXX 5 XXXXXX
    4 ...XXX 4 ...XXX 4 ...XXX 4 ...XXX 4 XXXXXX 4 XXXXXX 4 XXXXXX 4 XXXXXX
    3 XXX... 3 XXX... 3 XXX... 3 XXX... 3 XXX... 3 XXX... 3 XXX... 3 XXX...
    2 XXX... 2 XXX... 2 XXX... 2 XXX... 2 XXX... 2 XXX... 2 XXX... 2 XXX...
    1 XXX... 1 XXX... 1 XXX... 1 XXX... 1 XXX... 1 XXX... 1 XXX... 1 XXX...
    0 XXX... 0 XXX... 0 XXX... 0 XXX... 0 XXX... 0 XXX... 0 XXX... 0 XXX...
    
    10011000 10011001 10011010 10011011 10011100 10011101 10011110 10011111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B XXX... B ...XXX B XXXXXX B ...... B XXX... B ...XXX B XXXXXX
    A ...... A XXX... A ...XXX A XXXXXX A ...... A XXX... A ...XXX A XXXXXX
    9 ...... 9 XXX... 9 ...XXX 9 XXXXXX 9 ...... 9 XXX... 9 ...XXX 9 XXXXXX
    8 ...... 8 XXX... 8 ...XXX 8 XXXXXX 8 ...... 8 XXX... 8 ...XXX 8 XXXXXX
    7 ...... 7 ...... 7 ...... 7 ...... 7 XXX... 7 XXX... 7 XXX... 7 XXX...
    6 ...... 6 ...... 6 ...... 6 ...... 6 XXX... 6 XXX... 6 XXX... 6 XXX...
    5 ...... 5 ...... 5 ...... 5 ...... 5 XXX... 5 XXX... 5 XXX... 5 XXX...
    4 ...... 4 ...... 4 ...... 4 ...... 4 XXX... 4 XXX... 4 XXX... 4 XXX...
    3 ...XXX 3 ...XXX 3 ...XXX 3 ...XXX 3 ...XXX 3 ...XXX 3 ...XXX 3 ...XXX
    2 ...XXX 2 ...XXX 2 ...XXX 2 ...XXX 2 ...XXX 2 ...XXX 2 ...XXX 2 ...XXX
    1 ...XXX 1 ...XXX 1 ...XXX 1 ...XXX 1 ...XXX 1 ...XXX 1 ...XXX 1 ...XXX
    0 ...XXX 0 ...XXX 0 ...XXX 0 ...XXX 0 ...XXX 0 ...XXX 0 ...XXX 0 ...XXX
    
    10100000 10100001 10100010 10100011 10100100 10100101 10100110 10100111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B XXX... B ...XXX B XXXXXX B ...... B XXX... B ...XXX B XXXXXX
    A ...... A XXX... A ...XXX A XXXXXX A ...... A XXX... A ...XXX A XXXXXX
    9 ...... 9 XXX... 9 ...XXX 9 XXXXXX 9 ...... 9 XXX... 9 ...XXX 9 XXXXXX
    8 ...... 8 XXX... 8 ...XXX 8 XXXXXX 8 ...... 8 XXX... 8 ...XXX 8 XXXXXX
    7 ...XXX 7 ...XXX 7 ...XXX 7 ...XXX 7 XXXXXX 7 XXXXXX 7 XXXXXX 7 XXXXXX
    6 ...XXX 6 ...XXX 6 ...XXX 6 ...XXX 6 XXXXXX 6 XXXXXX 6 XXXXXX 6 XXXXXX
    5 ...XXX 5 ...XXX 5 ...XXX 5 ...XXX 5 XXXXXX 5 XXXXXX 5 XXXXXX 5 XXXXXX
    4 ...XXX 4 ...XXX 4 ...XXX 4 ...XXX 4 XXXXXX 4 XXXXXX 4 XXXXXX 4 XXXXXX
    3 ...XXX 3 ...XXX 3 ...XXX 3 ...XXX 3 ...XXX 3 ...XXX 3 ...XXX 3 ...XXX
    2 ...XXX 2 ...XXX 2 ...XXX 2 ...XXX 2 ...XXX 2 ...XXX 2 ...XXX 2 ...XXX
    1 ...XXX 1 ...XXX 1 ...XXX 1 ...XXX 1 ...XXX 1 ...XXX 1 ...XXX 1 ...XXX
    0 ...XXX 0 ...XXX 0 ...XXX 0 ...XXX 0 ...XXX 0 ...XXX 0 ...XXX 0 ...XXX
    
    10101000 10101001 10101010 10101011 10101100 10101101 10101110 10101111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B XXX... B ...XXX B XXXXXX B ...... B XXX... B ...XXX B XXXXXX
    A ...... A XXX... A ...XXX A XXXXXX A ...... A XXX... A ...XXX A XXXXXX
    9 ...... 9 XXX... 9 ...XXX 9 XXXXXX 9 ...... 9 XXX... 9 ...XXX 9 XXXXXX
    8 ...... 8 XXX... 8 ...XXX 8 XXXXXX 8 ...... 8 XXX... 8 ...XXX 8 XXXXXX
    7 ...... 7 ...... 7 ...... 7 ...... 7 XXX... 7 XXX... 7 XXX... 7 XXX...
    6 ...... 6 ...... 6 ...... 6 ...... 6 XXX... 6 XXX... 6 XXX... 6 XXX...
    5 ...... 5 ...... 5 ...... 5 ...... 5 XXX... 5 XXX... 5 XXX... 5 XXX...
    4 ...... 4 ...... 4 ...... 4 ...... 4 XXX... 4 XXX... 4 XXX... 4 XXX...
    3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX
    2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX
    1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX
    0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX
    
    10110000 10110001 10110010 10110011 10110100 10110101 10110110 10110111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B XXX... B ...XXX B XXXXXX B ...... B XXX... B ...XXX B XXXXXX
    A ...... A XXX... A ...XXX A XXXXXX A ...... A XXX... A ...XXX A XXXXXX
    9 ...... 9 XXX... 9 ...XXX 9 XXXXXX 9 ...... 9 XXX... 9 ...XXX 9 XXXXXX
    8 ...... 8 XXX... 8 ...XXX 8 XXXXXX 8 ...... 8 XXX... 8 ...XXX 8 XXXXXX
    7 ...XXX 7 ...XXX 7 ...XXX 7 ...XXX 7 XXXXXX 7 XXXXXX 7 XXXXXX 7 XXXXXX
    6 ...XXX 6 ...XXX 6 ...XXX 6 ...XXX 6 XXXXXX 6 XXXXXX 6 XXXXXX 6 XXXXXX
    5 ...XXX 5 ...XXX 5 ...XXX 5 ...XXX 5 XXXXXX 5 XXXXXX 5 XXXXXX 5 XXXXXX
    4 ...XXX 4 ...XXX 4 ...XXX 4 ...XXX 4 XXXXXX 4 XXXXXX 4 XXXXXX 4 XXXXXX
    3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX
    2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX
    1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX
    0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX
    
    10111000 10111001 10111010 10111011 10111100 10111101 10111110 10111111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B XXXXXX B ...... B XXXXXX B ...... B .X.X.. B ...... B ...... B XXXXXX
    A XXXXXX A ...X.. A XXXXXX A ..X.X. A ...X.. A .X.X.. A ...X.. A XXXXXX
    9 XXXXXX 9 ..X... 9 XXXXXX 9 ...X.. 9 ....X. 9 ..X... 9 ..X... 9 XXXXXX
    8 XXXXXX 8 ...... 8 XXXXXX 8 ...... 8 ....X. 8 ...... 8 ...... 8 XXXXXX
    7 XXXXXX 7 .XXX.. 7 XXXXXX 7 .XXXX. 7 .XXXX. 7 .XXX.. 7 .X.X.. 7 XXXXXX
    6 XXXXXX 6 ....X. 6 XXXXXX 6 X..... 6 X...X. 6 X...X. 6 .XX.X. 6 XXXXXX
    5 XXXXXX 5 .XXXX. 5 XXXXXX 5 X..... 5 X...X. 5 XXXXX. 5 .X.... 5 XXXXXX
    4 XXXXXX 4 X...X. 4 XXXXXX 4 X..... 4 X...X. 4 X..... 4 .X.... 4 XXXXXX
    3 XXXXXX 3 .XXXX. 3 XXXXXX 3 .XXXX. 3 .XXXX. 3 .XXX.. 3 .X.... 3 XXXXXX
    2 XXXXXX 2 ...... 2 XXXXXX 2 ...... 2 ...... 2 ...... 2 ...... 2 XXXXXX
    1 XXXXXX 1 ...... 1 XXXXXX 1 ...... 1 ...... 1 ...... 1 ...... 1 XXXXXX
    0 XXXXXX 0 ...... 0 XXXXXX 0 ...... 0 ...... 0 ...... 0 ...... 0 XXXXXX
    
    11000000 11000001 11000010 11000011 11000100 11000101 11000110 11000111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B ...... B ..X... B ....X. B ..X.X. B ...... B ...... B ......
    A ..X.X. A ...X.. A .X.X.. A ....X. A ...X.. A ..X.X. A .X.X.. A ...X..
    9 .X.X.. 9 ..X... 9 ..X... 9 .XX... 9 .XX... 9 .X.X.. 9 ..X... 9 ..X...
    8 ...... 8 ...... 8 ...... 8 ..X... 8 ..X... 8 ...... 8 ...... 8 ......
    7 X...X. 7 .XX... 7 X...X. 7 ..X... 7 ..X... 7 .XXX.. 7 X.XX.. 7 .XXX..
    6 X...X. 6 ..X... 6 X...X. 6 ..X... 6 ..X... 6 X...X. 6 XX..X. 6 X...X.
    5 X...X. 5 ..X... 5 X...X. 5 ..X... 5 ..X... 5 X...X. 5 X...X. 5 X...X.
    4 X...X. 4 ..X... 4 X...X. 4 ..X... 4 ..X... 4 X...X. 4 X...X. 4 X...X.
    3 .XXXX. 3 .XXX.. 3 .XXXX. 3 .XXX.. 3 .XXX.. 3 .XXX.. 3 X...X. 3 .XXX..
    2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ......
    1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ......
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ......
    
    11001000 11001001 11001010 11001011 11001100 11001101 11001110 11001111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B ...... B ...... B ...... B ..X.X. B ...... B XXXXXX B ......
    A ..X... A ..X.X. A .X.X.. A .X.X.. A ...X.. A ...X.. A XXXXXX A ...X..
    9 .X.X.. 9 .X.X.. 9 ..X... 9 ..X... 9 ...... 9 ..X... 9 XXXXXX 9 ..X...
    8 ...... 8 ...... 8 ...... 8 ...... 8 ..X... 8 ...... 8 XXXXXX 8 ......
    7 .XXX.. 7 .XXX.. 7 .X.X.. 7 .XXXX. 7 .XXX.. 7 X...X. 7 XXXXXX 7 .XXX..
    6 X...X. 6 ....X. 6 .XX.X. 6 X..... 6 ..X... 6 X...X. 6 XXXXXX 6 X...X.
    5 X...X. 5 .XXXX. 5 .X.... 5 .XXX.. 5 ..X... 5 X...X. 5 XXXXXX 5 XXXXX.
    4 X...X. 4 X...X. 4 .X.... 4 ....X. 4 ..X... 4 X...X. 4 XXXXXX 4 X.....
    3 .XXX.. 3 .XXXX. 3 .X.... 3 XXXX.. 3 ...X.. 3 .XXXX. 3 XXXXXX 3 .XXX..
    2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 XXXXXX 2 ......
    1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 XXXXXX 1 ......
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 XXXXXX 0 ......
    
    11010000 11010001 11010010 11010011 11010100 11010101 11010110 11010111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ...... B ...... B ...... B XXXXXX B .X.X.. B XXXXXX B ...... B XXXXXX
    A .X.... A ...X.. A .X.X.. A XXXXXX A ..X... A XXXXXX A ...... A XXXXXX
    9 ..X... 9 ..X... 9 ..X... 9 XXXXXX 9 ...... 9 XXXXXX 9 ...... 9 XXXXXX
    8 ...... 8 ...... 8 ...... 8 XXXXXX 8 ...... 8 XXXXXX 8 ...... 8 XXXXXX
    7 .XXX.. 7 X...X. 7 XXXXX. 7 XXXXXX 7 ...... 7 XXXXXX 7 ...... 7 XXXXXX
    6 ....X. 6 X...X. 6 ...X.. 6 XXXXXX 6 ...... 6 XXXXXX 6 XXXXX. 6 XXXXXX
    5 .XXXX. 5 X...X. 5 ..X... 5 XXXXXX 5 ...... 5 XXXXXX 5 ....X. 5 XXXXXX
    4 X...X. 4 X...X. 4 .X.... 4 XXXXXX 4 ...... 4 XXXXXX 4 ....X. 4 XXXXXX
    3 .XXXX. 3 .XXXX. 3 XXXXX. 3 XXXXXX 3 ...... 3 XXXXXX 3 ...... 3 XXXXXX
    2 ...... 2 ....X. 2 ...... 2 XXXXXX 2 ...... 2 XXXXXX 2 ...... 2 XXXXXX
    1 ...... 1 ..XX.. 1 ...... 1 XXXXXX 1 ...... 1 XXXXXX 1 ...... 1 XXXXXX
    0 ...... 0 ...... 0 ...... 0 XXXXXX 0 ...... 0 XXXXXX 0 ...... 0 XXXXXX
    
    11011000 11011001 11011010 11011011 11011100 11011101 11011110 11011111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ....X. B ....X. B XXXXXX B .X.X.. B .X.X.. B .X.X.. B ...X.. B XXXXXX
    A ...X.. A ...X.. A XXXXXX A ..X... A ..X... A ..X... A ..X... A XXXXXX
    9 ...... 9 ..X... 9 XXXXXX 9 .XXX.. 9 XXXX.. 9 XXXXX. 9 XXXX.. 9 XXXXXX
    8 ...... 8 .X.X.. 8 XXXXXX 8 X...X. 8 X...X. 8 X..... 8 X...X. 8 XXXXXX
    7 ...... 7 X...X. 7 XXXXXX 7 X..... 7 X...X. 7 X..... 7 X...X. 7 XXXXXX
    6 ...... 6 X...X. 6 XXXXXX 6 X..... 6 X...X. 6 XXXX.. 6 XXXX.. 6 XXXXXX
    5 ...... 5 XXXXX. 5 XXXXXX 5 X..... 5 X...X. 5 X..... 5 X.X... 5 XXXXXX
    4 ...... 4 X...X. 4 XXXXXX 4 X...X. 4 X...X. 4 X..... 4 X..X.. 4 XXXXXX
    3 ...... 3 X...X. 3 XXXXXX 3 .XXX.. 3 XXXX.. 3 XXXXX. 3 X...X. 3 XXXXXX
    2 ...... 2 ...... 2 XXXXXX 2 ...... 2 ...... 2 ...... 2 ...... 2 XXXXXX
    1 ...... 1 ...... 1 XXXXXX 1 ...... 1 ...... 1 ...... 1 ...... 1 XXXXXX
    0 ...... 0 ...... 0 XXXXXX 0 ...... 0 ...... 0 ...... 0 ...... 0 XXXXXX
    
    11100000 11100001 11100010 11100011 11100100 11100101 11100110 11100111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B ..X.X. B ...X.. B .XX... B ...X.. B .X.X.. B ..X.X. B .X.X.. B ...X..
    A .X.X.. A ..X... A .XX... A ..X... A ..X... A .X.X.. A ..X... A ..X...
    9 X...X. 9 .XXX.. 9 X...X. 9 X..... 9 X..... 9 .XXX.. 9 X...X. 9 .XXX..
    8 X...X. 8 ..X... 8 X...X. 8 X..... 8 X..... 8 X...X. 8 X...X. 8 X...X.
    7 X...X. 7 ..X... 7 X...X. 7 X..... 7 X..... 7 X...X. 7 XX..X. 7 X...X.
    6 X...X. 6 ..X... 6 X...X. 6 X..... 6 X..... 6 X...X. 6 X.X.X. 6 X...X.
    5 X...X. 5 ..X... 5 X...X. 5 X..... 5 X..... 5 X...X. 5 X..XX. 5 X...X.
    4 X...X. 4 ..X... 4 X...X. 4 X..... 4 X..... 4 X...X. 4 X...X. 4 X...X.
    3 .XXX.. 3 .XXX.. 3 .XXX.. 3 XXXXX. 3 XXXXX. 3 .XXX.. 3 X...X. 3 .XXX..
    2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ......
    1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ......
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ......
    
    11101000 11101001 11101010 11101011 11101100 11101101 11101110 11101111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B .XXX.. B ..X.X. B .X.X.. B .X.X.. B .X.X.. B ...X.. B XXXXXX B ...X..
    A X...X. A .X.X.. A ..X... A ..X... A ..X... A ..X... A XXXXXX A ..X...
    9 .XXX.. 9 ..X... 9 XXXX.. 9 .XXX.. 9 XXXXX. 9 X...X. 9 XXXXXX 9 XXXXX.
    8 X...X. 8 .X.X.. 8 X...X. 8 X...X. 8 X.X.X. 8 X...X. 8 XXXXXX 8 X.....
    7 X...X. 7 X...X. 7 X...X. 7 X..... 7 ..X... 7 X...X. 7 XXXXXX 7 X.....
    6 X...X. 6 X...X. 6 XXXX.. 6 .XXX.. 6 ..X... 6 X...X. 6 XXXXXX 6 XXXX..
    5 X...X. 5 XXXXX. 5 X.X... 5 ....X. 5 ..X... 5 X...X. 5 XXXXXX 5 X.....
    4 X...X. 4 X...X. 4 X..X.. 4 X...X. 4 ..X... 4 X...X. 4 XXXXXX 4 X.....
    3 .XXX.. 3 X...X. 3 X...X. 3 .XXX.. 3 ..X... 3 .XXX.. 3 XXXXXX 3 XXXXX.
    2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 ...... 2 XXXXXX 2 ......
    1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 ...... 1 XXXXXX 1 ......
    0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 ...... 0 XXXXXX 0 ......
    
    11110000 11110001 11110010 11110011 11110100 11110101 11110110 11110111
    
    
      765432   765432   765432   765432   765432   765432   765432   765432
    B X..... B ...X.. B .X.X.. B XXXXXX B XXXXXX B XXXXXX B XXXXXX B XXXXXX
    A .X.... A ..X... A ..X... A XXXXXX A XXXXXX A XXXXXX A XXXXXX A XXXXXX
    9 ..X... 9 X...X. 9 XXXXX. 9 XXXXXX 9 XXXXXX 9 XXXXXX 9 XXXXXX 9 XXXXXX
    8 .X.X.. 8 X...X. 8 ....X. 8 XXXXXX 8 XXXXXX 8 XXXXXX 8 XXXXXX 8 XXXXXX
    7 X...X. 7 .X.X.. 7 ...X.. 7 XXXXXX 7 XXXXXX 7 XXXXXX 7 XXXXXX 7 XXXXXX
    6 X...X. 6 ..X... 6 ..X... 6 XXXXXX 6 XXXXXX 6 XXXXXX 6 XXXXXX 6 XXXXXX
    5 XXXXX. 5 ..X... 5 .X.... 5 XXXXXX 5 XXXXXX 5 XXXXXX 5 XXXXXX 5 XXXXXX
    4 X...X. 4 ..X... 4 X..... 4 XXXXXX 4 XXXXXX 4 XXXXXX 4 XXXXXX 4 XXXXXX
    3 X...X. 3 ..X... 3 XXXXX. 3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX 3 XXXXXX
    2 ...... 2 ...... 2 ...... 2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX 2 XXXXXX
    1 ...... 1 ...... 1 ...... 1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX 1 XXXXXX
    0 ...... 0 ...... 0 ...... 0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX 0 XXXXXX
    
    11111000 11111001 11111010 11111011 11111100 11111101 11111110 11111111
    


  27. Zapojení propojek AND-1Z


  28. Signál MAP vyřazen       S1/1 spojit, S1/2 rozpojit
    Signál MAP zařazen       S1/1 rozpojit, S1/2 spojit
    


  29. Rozpiska soucástí
  30. ---------------------------------------------------------
     Součástka                      Pozice
    ---------------------------------------------------------
     Odpor TR 191 47KJ       R1
                  4K7J       R2-R4,R8,R10,R12,R13
                  2K2J       R5,R6
                  10KJ       R7,R9
                  1K0J       R11,R14-R17,R21,R23,R24
                  330RJ      R18
                  680KJ      R15
                  220RJ      R20
                  68RJ       R22
    
     Kondenzátor TK 794 100P        C1
                 TE 121 6u8         C2,C4
                 TK 783 47N         C3,C5-C9,Cl1-C14
                 TK 783 15N         C10
    
     dioda KA 206                   V1-V4
     tranzistor KSY 82              V5
     tranzistor KSY 71              V6
    
     I.O. MH 74 S 287               D1,D24
          K 555 IR 11 (74  194)     D2,D3,D10,D20
          K 555 LA 3 (74 LS 00)     D4
          K 555 LN 1 (74 LS 04)     D5,D29
          K 555 LL 1 (74 LS 32)     D6
          K 155 IR 15 (74173)       D7,D9
          K 155 LP 8 (74 125)       D8,D10
          K 573 RF 3 (2732)         D11
          K 555 TM 2 (74 LS 74)     D12,D21
          K 555 TM S (74 LS 175)    D13
          K 555 LA 1 (74 LS 20)     D14
          NM 6514                   D15-D18
          K 555 TM 5 (74 LS 174)    D22
          K 555 LA 4 (74 LS 10)     D23
          K 555 KP 11 (74 LS 257)   D25-D29
          K 555 IE 7 (74 LS 193)    D28,D31-D34
          UCY 7406                  D30
          MH 74 S 571               D35
     Krystal 11 Z 53 10 MHz         Z1
     Spínač TS 501 2 121           1
    
    ---------------------------------------------------------
    


  31. Rozložení součástek

  32. DPS AND-1Z




    DPS AND-1Z/89



    Popis a vyobrazení v této příručce jsou nezávazné a výrobní závod si vyhrazuje právo v duchu technického rozvoje a v zájmu uživatelů přístrojů provádět změny sloužící zlepšení -funkce a spolehlivosti přístrojů. Z toho důvodu se nemusí všechny údaje v textu a všechna vyobrazení shodovat s dodaným přístrojem.



  33. Schéma desky AND-1Z (příloha)

  34. Schéma AND-1Z a černobílá verze ZDE.




    Schéma AND-1Z/89 a černobílá verze ZDE.







Vývoj:

TESLA-ELSTROJ



Výrobce:

ELITEX
KONCERNOVÝ PODNIK
CHRASTAVA

ZÁVOD 06 - ELEKTRONIKA - LIBEREC



Dodavatel:

TESLA- ELTOS-DIZ

poštovní schránka 27

pošta 45

145 00 Praha 4



Obsah:

  1. Úvod
  2. Technické parametry
  3. Instalace
  4. Popis funkce
  5. Programování
  6. Testování
  7. Údržba
  8. Všeobecné údaje
  9. Obsah pamětí PROM
  10. Zapojení konektoru X1
  11. Zapojení konektoru X2
  12. Výpis generátoru znaků EPROM - pozice D11
  13. Grafické znázornění znaků u AND-1Z
  14. Zapojení propojek na desce AND-1Z
  15. Rozpiska součástek
  16. Rozložení součástek
  17. Schéma desky AND-1Z (příloha)


ÚVOD | Novinky | 8 Bitů | Příslušenství | Drobnosti | TTL | Kontakt


SAPI-1 | ONDRA | PMI-80 | PMD-85 | klony PMD-85 | klony SM50/40 | PETR | PLAN-80A | IQ151 | TNS | FK-1 | HVĚZDA


ZPS | Technické prostředky | Programové vybavení | Dokumentace


8 bity / SAPI-1 / Technické prostředky / AND-1Z, AND-1Z/89



SAPI.cz - web o československých osmibitech, zejména SAPI-1. Provozuje EC1045 od roku 2011
Za korekce češtiny dekuji: MELSOFTovi, Silliconovi, Martinu Lukáškovi a NOSTALCOMPovi

Když začínám blbnout z 8bitů tak se chodím léčit mezi otaku.
Animefest.cz